Vscode进行硬件设计实用插件-语法高亮、语法检查、自动例化、

Vscode进⾏硬件设计实⽤插件-语法⾼亮、语法检查、⾃动例化、Testbench⽣
成、对。。。
⽬录
 以前使⽤的编辑器是Sublime Text 3,其插件功能挺强⼤的,具有⾃动对齐、代码块、查下⼀个等功能。但是在使⽤过程中有⼀些⼩Bug,⽐如查上⼀个选择功能需要先⽤查下⼀个选择才可以选中字符串,然后才可以正常往上查。另⼀个原因VS Code具有更多功能强⼤的插件,所以转 VS code编辑器了,将⼀些实⽤的插件记录⼀下。
Sublime Text 3Visual Studio Code
 [安装][Visual Studio Code官⽹](code.visualstudio/Download)或者⽂末相关资料获取所有相关的安装包。不要中⽂路径⼀路next就⾏了。
 [中⽂]安装Chinese (Simplified) Language Pack for Visual Studio Code插件即可。插件的⽤法参照插件详情的Usage章节:
1. Ctrl+Shift+P 打开命令⾯板
2. 输⼊ display 以选择 Configure Display Language 命令
3. 选择Zh-cn,重启软件即可。
在这⾥插⼊图⽚描述
1. ISE、Vivado编辑器设置
1.1 ISE 设置
1.2 Vivado 设置
 Tools > Settings > Text Editor:Current Editor改为Custom,command改为x:/xxx/Microsoft VS [file name] -l[line number]
在这⾥插⼊图⽚描述
2. 语法⾼亮、语法检查和⾃动例化
 插件名称:Verilog-HDL/SystemVerilog/Bluespec SystemVerilog
在这⾥插⼊图⽚描述
功能:
语法⾼亮
Verilog-HDL
SystemVerilog
Bluespec SystemVerilog
Vivado UCF constraints
Synopsys Design Constraints
代码⽚段
语法检查
基于-iverilog
基于Vivado - xvlog
基于Modelsim - modelsim
基于Verilator - verilator
集成ctags(Generate tag files for source code)⼯具
⾃动补全
⽂档符号⼤纲
悬浮显⽰变量声明
转到定义
模块实例化
2.1 配置ctags
 (1)⾸先点击上图插件详情页中的下载插件并安装(安装路径不要中⽂和空格)。
 (2)添加所在路径为环境变量或者在插件设置中 verilog > Ctags:path 中加上所在路径如 C:\。
在这⾥插⼊图⽚描述
在这⾥插⼊图⽚描述
2.2 配置语法检查
1. 基于vivado -xvlog
 到vivado⾃带的语法检查器xvlog的位置,⼀般是x:\xxx\Vivado\2017.1\bin\,将此路径添加为环境变量。在cmd中输⼊xvlog --version查看是否设置成功。
在这⾥插⼊图⽚描述
查文红  然后再插件设置中将 Verilog > Linting:Linter 参数设置成xvlog。
在这⾥插⼊图⽚描述
 当 ctrl+s 保存⽂件时,会进⾏语法检查并报错。
在这⾥插⼊图⽚描述
2. 基于iverilog --iverilog
 (1)安装iverilog软件。
 (2)设置x:\xxx\iverilog\bin; x:\xxx\iverilog\gtkwave\bin 为环境变量。
 (3)在cmd中执⾏iverilog -v验证环境。
 (4)在插件设置中将 Verilog > Linting:Linter 参数设置成xvlog;将Verilog > Linting     >iverilog:Arguments 参数设置成 -i。使⽤⽅法同上。
2.3 ⾃动例化
现代奇迹快捷键设置:
 (1)使⽤ctrl+shift+p调出命令⾯板。
 (2)输⼊verilog:inst以打开Verilog:Instantiate Module命令右边的设置图⽚。
 (3)双击命令,输⼊喜欢的快捷键。
使⽤:
 注意被例化的模块需要与本模块在同⼀个⽂件夹中。
 (1)通过命令⾯板或者快捷键执⾏Verilog:Instantiate Module命令。
 (2)选择需要例化的模块并回车。
在这⾥插⼊图⽚描述
在这⾥插⼊图⽚描述
在这⾥插⼊图⽚描述
3. Testbench⾃动⽣成
3.1 Verilog_Testbench 插件
在这⾥插⼊图⽚描述
 在插件的详情页中可知本插件包括两个命令,Testbench(在活动编辑器中为verilog模块⽣成Testbench)和Instance(在活动编辑器中为verilog模块⽣成实例)。
 特别注意,插件需要python3环境,建议直接安装anaconda软件。
3.2 使⽤
 建议按相同的⽅法配置快捷键。我设置为ctrl+t,b。
在这⾥插⼊图⽚描述
 如果⽣成了乱码字符,最好安装chardet通⽤编码检测器。
 (1)在安装路径解压chardet-4.0.。
 (2)在⽂件setup.py所在路径按住shift右击空⽩处在此处打开powershell窗⼝。
 (3)输⼊python setup.py install回车完成安装。
4. 辅助对齐
4.1 Align-Vertically 插件
 个⼈⽐较习惯的代码对齐⽅式像下⾯这种:
在这⾥插⼊图⽚描述
 以前使⽤的Sublime Text 3可以很好的实现⾃动对齐,在VS code中试了⼗⼏种对齐的插件,其中插件Alignment功能和使⽤说明都和Sublime差不多,但是实际使⽤有很多问题。最终我选择了Align-Vertically插件。这个插件在对齐时需要输⼊需要对齐的字符,所以算是辅助对齐。
在这⾥插⼊图⽚描述
4.2 配置与使⽤
快捷键设置:
 (1)使⽤ctrl+shift+p调出命令⾯板。
 (2)输⼊align以打开Align Vertically命令右边的设置图⽚。
 (3)双击命令,输⼊喜欢的快捷键。
在这⾥插⼊图⽚描述
在这⾥插⼊图⽚描述
使⽤:
 (1)选择需要对齐的代码块然后使⽤快捷键。
 (2)输⼊需要对齐的字符并回车。
5. 代码块
 插件Verilog-HDL/SystemVerilog/Bluespec SystemVerilog 也有⼀些⾃带的代码⽚段,但这些⽤起来总觉得很繁琐,不如⾃⼰设置来的舒服。
 (1)在 VS Code 的 ⽂件 > ⾸选项 > ⽤户⽚段 中选择新建代码⽚段,命名并保存。
在这⾥插⼊图⽚描述安兵基
 (2)按⾃⼰的编程习惯编辑代码⽚段,与Sublime Text 3类似。使⽤效果:
在这⾥插⼊图⽚描述
6. 其他插件推荐
(1)Rainbow Brackets
 为圆括号、⽅括号和弯弯曲曲的括号提供彩虹颜⾊。
在这⾥插⼊图⽚描述昌平二中分校
(2)Waveform Render
 在VSCode中使⽤WaveDrom渲染时序图。
在这⾥插⼊图⽚描述
 键⼊左边的代码,⾃动渲染右边的时序图,写论⽂很实⽤。
在这⾥插⼊图⽚描述
7. 相关资料 // Place your 全局 snippets here. Each snippet is defined under a snippet name and has a scope, prefix, body and  // description. Add comma separated ids of the languages where the snippet is applicable in the scope field. If scope  // is left empty or omitted, the snippet gets applied to all languages. The prefix is what is  // used to trigger the snippet and the body will be expanded and i
nserted. Possible variables are:  // $1, $2 for tab stops, $0 for the final cursor position, and ${1:label}, ${2:another} for placeholders.  // Placeholders with the same ids are connected. // Example: // "Print to console": {                  //Print to console 为键⼊时的字符提⽰ //  "scope": "javascript,typescript",    //scope 为使能⽂件类型范围 //  "prefix": "log",                      //prefix 为触发代码块的字符串 //  "body": [                            //body 为代码主体 //  "console.log('$1');",            //$为键⼊其他代码的顺序 //  "$2" //  ], //  "description": "Log output to console" // } //⽐如设置d 的代码块 "d": {  "scope": "verilog,systemverilog",  "prefix": "beg",  "body": [  "begin"  " $0"  "end"  ],  "description": "verilogheader" }
1
2
3
4
5
6
7
8
9
10
11
厦航高郡
通径分析12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27

本文发布于:2024-09-25 06:23:01,感谢您对本站的认可!

本文链接:https://www.17tex.com/xueshu/686256.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:插件   安装   代码   需要   设置
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议