综合时如何确定wire

综合时如何确定wire load model
大胆猜想与小心求证
综合的时候对于⼩的设计可以设置⾃动选择WLM:任丘三中
dc_shell>set auto_wire_load_selection true
对于⼤设计,你可以设置⼀个库中最⼤的WLM,把auto select关掉.
dc_shell> auto_wire_load_selection = false南方护理学报
⼀般库⾥⾯会带有不⼤准确的WLM,对应不同⾯积更精确的要在⽣成版图之后提取,得到CUSTOMER WLM寡头竞争
Set_wire_load <wire-load model> -mode <top|enclosed|segmented>
向DC提供wire_load信息,通常技术库⾥包含许多负载模型,每⼀种wire-load模型都代表⼀定模块的尺⼨,模拟模块内部nets 的delay,⽤户也可以创建⾃⼰的wire_load模型去模拟各设计模块的net loading。
wire_load模型的选择很重要,太悲观或太乐观的模型都将产⽣综合的迭带,在pre-layout的综合中应选⽤悲观的模型。命令格式如下:西北民歌
dc_shell>set_wire_load MEDIUM –mode top
(可以由⼯程师先create SMALL、MEDIUM和LARGE wire_load_model)
⼀般在做pre-layout综合的时候,选择⼀个和整个模块⾯积相匹配的WLM,做⼀个保守的评估。模型⼀般和⾯积有关,⼯艺库⼚商会提供⼀个。
WLM是由设计规模决定的, wire_load_mode是在选定WLM后的⼀种模式
有三种wire-load mode:top,enclosed,segmented,⽤于模拟各设计层次的net wire_load的关系。
Top:所有层次⼦模块的wire_load和top-level相同,如果⽤户计划flatten设计去layout可选择此模式编译⼦模块;
预计负债Enclosed:⼦模块net的wire_load和enclosed 它的最⼩模块相同,推荐⽤于在layout后logical and physical hierarchy相似的设计
Segmented:⼦模块之间net的wire_load和enclosed该net的模块相同,需技术库提供Segmented wire_load,⼀般不常⽤;
问题:
1. set auto_wire_load_selection true但是wire area仍然没有,只有cell area,为什么?
2. Pre_layout syn,已知tsmc⼯艺,该怎么选⽤wire_load_model,什么名字。
答:Taget_library中选⽤的库所对应的逻辑库中会包含若⼲wire_load model,选择⼀个。(同样,operating_conditions 也是在此lib中定义。)

本文发布于:2024-09-22 07:39:36,感谢您对本站的认可!

本文链接:https://www.17tex.com/xueshu/453197.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   模型   模块   选择   包含   综合   提供   模拟
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议