基于FPGA的移位寄存器设计

基于FPGA的移位寄存器设计
基于FPGA的移位寄存器设计
摘要
FPGA是可编程门阵列,是PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物,是ASIC中的一种半定制电路,不仅解决了定制电路的不足,也克服了可编程器件门电路有限的缺点。全球很多厂商都有生产,其中Altera作为可编程器件的发明者,提供了超过90%的高端啊FPGA产品。
移位寄存器是是一种在若干相同时间脉冲下工作的以触发器为基础的器件,数据以并行或串行的方式输入到该器件中,然后每个时间脉冲依次向左或右移动一个比特,在输出端进行输出。这种移位寄存器是一维的,事实上还有多维的移位寄存器,即输入、输出的数据本身就是一些列位。实现这种多维移位寄存器的方法可以是将几个具有相同位数的移位寄存器并联起来。
通过II软件采用V erilog HDL语言编写程序、技术、技术及信号发生器进行仿真,在通过改变幅值频率大小,从而得到自己想要的波形。
关键字:移位寄存器、Design of shift register based on FPGA、V erilog HDL、波形
Design of shift register based on FPGA
ABSTRACT
FPGA is a programmable gate array, is a product of PAL, GAL, CPLD etc. based on the further development of the programmable device, is a semi custom circuit in ASIC, not only to solve the lack of custom circuits, but also overcome the programmable gate circuit is limited. Many of the world’s manufacturers have production, which Altera as the inventor of programmable devices, providing more than 90% high-end ah FPGA products.
The shift register is a pulse work on the same time to trigger based devices, data input to the device in parallel or serial way, then each time pulses in sequence to the left or right to move a bit, output at the output end. The shift register is one-dimensional, in fact there are multiple shift registers, namely the input and output data itself is some of you. The method of realizing the multi dimension shift register can be parallel to a plurality of shift registers with the same number of digits.
Through the QuartusII software V erilog HDL language program, technology, technology and signal generator simulation, by changing the magnitude of the frequency of the frequency, so as to get their desired waveform.
Key words:Shift register、FPGA、V erilog HDL、Waveform
目录
不到标题。
这是一个自动目录。若要使用它,请对进入目录的文本应用标题样式(“开始”选项卡上),然后更新此目录。如果您想要键入自己的条目,请使用手动目录(与手动目录位于相同菜单中)。
第一章绪论
1.1 选题的目的及意义
V erilog HDL 作为现今最流行的电子硬件语言,加之EDA技术的蓬勃发展,对于移位寄存器,使用EDA技术进行模拟有着很好的效果,对于数据储存,高效传输,有着很好的可行性。
诘问式
使用Quartus II软件进行编译,模拟生成图像,十分方便。
1.2 设计内容与计划
设计一个移位寄存器,用V erilog HDL语言编译,并模拟生成波形图进行检测
各章计划:如表1.1
表1.1 各章计划
第一章:绪论介绍:选题的目的、意义,信号发生器的工作原理,论文主要内容与构成第二章:相关技术及使用工具介绍 EDA技术、软件及调制信号发生器进行了解和学习
第三章:课题的设计与实现研究移位寄存器,并用V erilog HDL语言进行编程
第四章:仿真软件的调试设置、编译软件设置,用V erilog HDL编程,逻辑正确,采纳。第五章:测试编译,仿真,给出结果
第六章:结论总结实验过程中学习和收获
第二章相关技术和工具
2.1 EDA技术概述
EDA技术是一门新型的以CAD技术为基础的计算机软件系统,以计算机为平台,进行电子产品设计。
(1)EDA技术的发展历程
随着电子信息技术的发展,EDA技术经历了三个发展阶段:CAD、CAE、EDA。如下表2.1。
表2.1 EDA发展历史
时间20世纪70年代
20世纪80年代
20世纪90年代至今
发展情况初级阶段:小规模标准集成电路功能得到突破,推出了重点研究的项目且是以仿真,时序分析,自动布局,故障分析,逻辑模拟为主的片上系统设计,可编程逻辑器件内的内核,信号处理和控制功能变强
优缺点减轻了作图的重复劳动,但性能较差为开发电子产品创造有利条件,缺点设计要求不能满足,优化设计差可以抽象描述的设计层次
(2)EDA技术的主要内容
1)大规模可编程逻辑器件
superboostPLD是由用户编程的。随着EDA技术的发展FPGA和CPLD在电子设计领域占着越来越重要的位置,且应用广泛。
2)硬件描述语言
硬件描述语言:VHDL、V erilog和ABEL。
3)软件系统的构成,表2.2。
表2.2 软件系统构成
构成作用
sony w1设计端口定义声明端口输入输出
设计的I/O说明进行信号类生命和功能定义
逻辑功能的定义如always语句等,进行逻辑描述
仿真制图进行模拟激励,输出图像
布局设计辅助进行物理实物的构建
2.1.1 技术特点
(1)通过软件设计硬件
多聚赖氨酸
(2)集成度高,易实现编程
(3)自动化程度高
(4)器件大多可以编程
(5)自顶而下的设计方法构建系统
2.1.2 技术的工程设计流程
流程概括如表2.3
表2.3 流程及作用
流程作用
进行程序设计编写程序
逻辑综合分解逻辑电路,对应关系
目标器件的布线\适配选择器件并建立其逻辑关系
目标器件的编程\下载把逻辑关系物理化(电路实现)
进行硬件仿真看仿真结果
工程设计流程由图2.1示。
图2.1 EDA设计流程描述
2.2 V erilog HDL语言
V erilog HDL语言是一种硬件描述语言,与VHDL一样是世界上最流行的硬件描述语言。符合IEEE标准湖州师范学院学报
它的设计十分高效,从软件到硬件能很好的衔接,非常适合我们使用
V erilog HDL语言可以在大规模的电路中设计,并且能极大的满足市场需求。
图2.2 V erilog HDL程序结构图
(1)V erilog HDL程序基本结构如图2.3示。
图2.3 V erilog HDL程序基本结构
(2) V erilog HDL语言的数据类型
V erilog HDL数据类型按照构成分为四类:如表2.4
表2.4 数据类型分类
类型作用
连线类型类似于电路中的导线
寄存器类型可以存储值
2.2.1V erilog HDL语言的优势
如表2.5所列
表2.5 V erilog HDL语言优势
优势具体介绍
描述方便能够在多个层次上对所设计的系统加以描述,从
开关级、门级、寄存器传输级(RTL)到行为级等;
语言不对设计的规模施加任何限制。
描述方法多可采用行为描述、数据流描述和结构化描述三种
不同方式或混合方式对设计建模。
数据类型方便具有两种数据类型;线网数据类型和寄
存器数据类型。
2.2.2V erilog HDL的设计流程
V erilog HDL的设计流程主要有几个步骤:如表2.6。
表2.6 设计流程步骤
步骤内容
建立库文件夹编辑,建立自己的库
程序编写在库中建立V erilog HDL程序,并编写
加入激励进行激励,输入信号
时序仿真进行仿真信号,观察信号波形图
器件模拟对器件进行模拟连接
2.3 移位寄存器的工作原理
(1)寄存器的发展
因为寄存器是向上兼容,所以随着cpu的升级换代,寄存器也会相应的更新,不同的寄存器渐渐出现,随着科技进步,寄存器的储存效率提高,传输速度加快,使得与CPU的协同处理能力提高,计算速度提高。
(2)移位寄存器的基本原理
王玉辉
移位寄存器不仅能寄存数据,而且能在时钟信号的作用下使其中的数据依次左移或右移。四位移位寄
存器的原理图如图所示。F0、F1、F2、F3是四个边沿触发的D触发器,每个触发器的输出端Q接到右边一个触发器的输入端D。因为从时钟信号CP的上升沿加到触发器上开始到输出端新状态稳定地建立起来有一段延迟时间,所以当时钟信号同时加到四个触发器上时,每个触发器接收的都是左边一个触发器中原来的数据(F0接收的输入数据D1)。寄存器中的数据依次右移一位。

本文发布于:2024-09-22 12:37:55,感谢您对本站的认可!

本文链接:https://www.17tex.com/xueshu/190702.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   进行   寄存器   技术
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议