基于FPGA的设计题目

1.花样彩灯控制器的设计
设计要求:
假设输入脉冲为3MHz,控制16LED发光二极管每隔1s2s显示一种花样。要求显示的花样如下:闪烁2    LED0)移位点亮到LED15)一次      全部点亮一次    LED15)开始逐个熄灭至LED01      闪烁2次。。。。。。如果按下清零键时,16LED均熄灭一次,然后再重新按规律显示。如果没有按下快/慢选择控制键时,16LED发光二极管是以每隔1s进行花样显示,否则按下快/慢键选择控制键时,16LED发光二极管是以每隔2s进行花样显示。
2.利用FPGA实现一个简单的DDS正弦波发生器
DDS:数字显示示波器)
可分解为三个部分来设计:时钟产生模块;地址产生模块;ROM查表模块。
实现思路:
1 首先,由外部晶振引入40MHz的时钟到FPGA内部,进入时钟产生模块,对时钟进行处理并3倍频程后,得到一个稳定精确的120MHz的系统时钟;
2 然后,地址产生模块在系统时钟的激励下,将频率控制字与累加寄存器输出的数据进行累加,然后把累加的结果作为地址输出给ROM查表地址;
3 最后,ROM查表模块在每个系统时钟的上升沿,按照地址来读取ROM查表中的相应的波形采样点数据并输出,该数就是最终的DDS信号
3.多功能信号发生器的设计
设计要求:
设计一个多功能信号发生器,能够以稳定的频率产生锯齿波、增减锯齿波、三角波、阶梯波、正弦波和方波等六种信号。系统有3个波形选择开关和一个复位开关,通过波形选择开关可以选择以上各种不同种类的输出波形;按下复位开关时,系统将复位。
设计实现:
由于FPGA化学成分只能直接输出数字信号,而多功能信号发生器输出的各种波形均为模拟信号,因此设计信号发生器时,需将FPGA输出的信号通过D/A转换电路将数字信号转换成模拟信号。多功能信号发生器可由信号产生电路、波形选择电路和D/A转换电路构成。
如下图所示:
时钟信号                                                    实战能力波形输出
选择信号
4.数字跑表的设计
设计要求:
设计一个数字跑表,该跑表具有复位、暂停、秒表计时等功能。
该跑表有三个输入端,分别为时钟输入(CLK)、复位(agps是什么意思CLR)和启动/暂停(PAUSE
复位信号高电平有效,可对整个系统异步清0,当启动/暂停(PAUSE)键为低电平时跑表开始计时,为高电平时暂停,变低后在原来的基础上再计数。
为了便于显示,可分秒、秒和分钟信号皆采用BCD码计数方式,并直接输出到6个数码管显示。
5.8位数字频率计的设计
设计一个8位频率计,可以测量从1Hz99 999 999Hz的信号频率,并将被测信号的频率在8个数码管上显示出来。
采用一个标准的基准时钟,在单位时间(如1s)里对被测信号的脉冲数进行计数,即为信号的频率。
整个系统分为三个模块:控制模块,计数测量模块和锁存器模块。
6.基于FPGA的简单运算器的设计
1 设计要求:
该运算器能完成-7+7的简单的加、减、与、异或四种运算功能,并且可以对溢出的结果进行修正。硬件实验板由一个八按键电路和一个32LCD显示器组成,通过相应的按键输入把运算结果显示在LCD显示器上。
2 设计原理:
该运算电路由按键输入、控制、修正、显示和运算五大模块组成,来实现加、减、与、异或四种运算功能,首先按键模块用于输入两个数的运算符,通过控制模块传送到运算器模块进行运算,再连接到修正模块,通过修正模块对“溢出”的数值进行修正,最后显示模块功能实现把运算过程反应到LCD显示器上。
7.基于FPGA的脉冲信号型乐曲播放器的设计
设计要求:
利用FPGA设计一个控制器,让不同频率的脉冲信号有序的输出并驱动扬声器发声,最终完成一首乐曲的演奏。
设计步骤:
1 预置乐曲,作预置时,需将乐曲音符转换成相应代码,通过计算逐一将音符换成代码,通过相应软件平台进行乐曲定制;
2 为提供乐曲发音所需的发音频率,编写数控分频器程序,对单一输入高频,进行预置数分频,生成每个音符的相应频率;
3 为了给分频提供预置数,需计算分频预置数;
p2p传输
4 对每部分结构单元逐一进行编译,生成相应的元器件符号,并对独立结构单元功能进行仿真。
8.闹钟系统的设计
设计一个带闹钟功能的24小时计时器,包括以下几个组成部分:
1 显示屏,由四个七段数码管组成;
2 数字键‘0’到‘9’,输入时间;
3 TIME(时间)键,用于确定新的时间设置;
4 ALARM(闹钟)键,用于确定新的闹钟时间设置;
5 扬声器
该设计要求完成如下功能:
蒸发残渣
计时功能;闹钟功能;设置新的计时器时间;设置新的闹钟时间;显示所设置的闹钟时间;
根据以上的设计要求,整个系统大致包括如下几个组成部分:用于键盘输入的缓冲器;用于时钟计数的计数器;用于保存闹钟时间的寄存器;用于显示七段数码显示电路以及控制以上各部分协同工作的控制器。
9.数字钟的设计
1 设计要求:
假设外部输入脉冲为1Hz,要求使用该频率设计一个时间可调,并通过LED七段共阴极数码管显示时、分、秒的数字钟。
2 设计原理:
进行设计数字钟的设计时,首先对1s的时钟进行计数,当计数达到60次时,输出1个分钟(min)脉冲;当1mingsmmodem的时钟计数到达60次时,输出1个小时(h)脉冲;若1h的时钟计数达到23次时,并且1min的计数到59次、1s的计数也达到59次,再来11s的脉冲,数字钟就自己复位,重新从零开始计时。
由此,可知数字钟由三个计数模块(二十四进制计数器、十进制计数器和六进制计数器)、7LED驱动显示模块和顶层模块组成。
10.四组抢答器的设计
设计要求:
设计一个四组竞争抢答器系统,每组有1个对应的按钮,编号分别为ABCD在主持人
的主持下,参赛者通过抢先按下抢答按钮获得答题资格。当某一组按下按钮并获得答题资格后,LED显示出该组编号,并有抢答成功显示同时锁定其他组的抢答器,使其他组抢答无效。
如果主持人在为按下开始按钮前,已有人按下抢答按钮,属于违规,并显示违规组的编号,同时蜂鸣器发音提示,其他组无效。
获得回答资格后,若该组回答的问题正确,则加1分,否则减1分。抢答器设有复位开关,由主持人主持。

本文发布于:2024-09-22 06:47:49,感谢您对本站的认可!

本文链接:https://www.17tex.com/xueshu/158298.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:模块   设计   显示   进行   信号   时钟   计数   相应
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议