基于FPGA的DDS设计

一、实验名称:基于FPGADDS信号源设计
二、技术规范:
1.实验目标:
医疗保健器具设计一个直接数字频率合成(DDSDirect Digital Synthesis),DDS是一种新型的频率合成技术。DDS 技术是一种把一系列数字形式的信号通过DAC 转换成模拟信号的合成技术。红狼牙鰕虎鱼
DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以及集成化等一系列性能指标方面远远超过了传统频率合成技术。因此在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。
2.实现功能:
本实验最后将设计出一个具有频率控制和相位移控制功能的DDS。
3.引脚:
本实验有三个输入端口,8位的频率控制字端口,分别接8个开关按键,8位的相位控制字端口,分别接另外的8个开关按键,系统时钟输入端口;一个8位输出端口,接D/A的输入端口。FPGA板上的时钟频率为50MHz,本实验将其10分频后得到5MHz再使用。
三.总体设计方案;
高频整流器1.DDS原理:
实验采用目前使用最广泛的一种DDS 方式是利用高速存储器作查表,然后通过高速DAC 输出已经用数字形式存储的波形。
图1:DDS 系统的基本原理图
maop
图1中虚方框部分是DDS 的核心单元,它可以采用CPLD/FPGA 来实现。图中的相位累加器由N位全加器和N位累加寄存器级联而成,可对频率控制字的二进制码进行累加运算,是典型的反馈电路。
频率控制字M和相位控制字分别控制DDS 输出正()弦波的频率和相位。每来一个时钟脉冲,相位寄存器以步长M递增。相位寄存器的输出与相位控制字相加,其结果作为正()弦查表的地址。正()弦查表的数据存放在ROM中,内部存有一个周期的正弦波信号的数字幅度信息,每个查表的地址对应于正弦波中0核酸染料°~360°范围内的一个相位点。查表把输入的址信息映射成正()弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,travelmate 6292DAC输出的模拟信号经过低通滤波器 (LPF),可得到一个频谱纯净的正()弦波。

本文发布于:2024-09-23 01:38:11,感谢您对本站的认可!

本文链接:https://www.17tex.com/xueshu/158184.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:频率   相位   控制   数字   输出   查找   信号   输入
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议