自适应滤波器的设计与制作

第32卷第6期大学物理实验
Vol.32No.62019年12月
PHYSICALEXPERIMENTOFCOLLEGE
Dec.2019
收稿日期:2019 ̄07 ̄18
文章编号:1007 ̄2934(2019)06 ̄0088 ̄04
适应滤波器的设计与制作
陈振东ꎬ杨飞帆
(华南师范大学ꎬ广东广州㊀510006)
摘要:自适应滤波器是一种能够根据噪声特性实时修改自身参数ꎬ从而达到最佳滤波效果的滤
波器ꎬ目前广泛应用于数字信号处理的各个领域ꎮ设计了一款完整的自适应滤波器展示装置ꎬ首先使用加法器和移相器电路对原始信号进行加噪处理ꎬ将加噪后的信号和原始噪声经过模数(AD)转换后输入FPGAꎬ并在FPGA平台上构建了基于最小均方误差(LMS)算法自适应滤波器ꎬ最后将滤波器输出的信号经过数模(DA)转换后在示波器上进行观察ꎮ实验结果表明ꎬ该自适应滤波方案能在1 ̄200KHz的频带范围内有效地滤除与原始信号频率差大于10Hz的正弦波㊁三角波和高斯噪声等噪声信号ꎮ关
条形刷
抗菌膜
词:自适应滤波ꎻ信号加噪ꎻFPGAꎻLMS算法
中图分类号:TN713+.7
文献标志码:A
DOI:10.14139/j.cnki.cn22 ̄1228.2019.06.021
㊀㊀在数字信号处理领域ꎬ常规的滤波器具有固定的特性ꎬ通常根据先验知识确定滤波器的权系数ꎬ然后决定滤波器的输出值[1]ꎮ例如ꎬ在处理低频语音信号时ꎬ可通过一定上限截止频率的低通滤波器滤除高频的带外噪声ꎮ这类固定权系数的滤波器在处理单一恒定噪声时ꎬ通常能获得不错的效果ꎮ但在实
际工程应用中ꎬ噪声往往是具有随机性的ꎬ很难提前预知噪声特性ꎬ因而无法通过权值固定的传统滤波方法滤除未知的噪声ꎮ自适应滤波器具有闭环反馈特性ꎬ可根据噪声特性对滤波器的权值进行实时更新ꎬ能适应于包含各种噪声的应用场所ꎬ目前在数字信号处理领域引起了广泛的研究兴趣[2]ꎮ设计了一款完整的自适应滤波器展示装置ꎬ首先通过移相器和加法器对信号进行模拟加噪处理ꎬ然后在FPGA平台上构建基于LMS算法的自适应滤波器模块ꎬ将加噪后的信号输入自适应滤波模块ꎬ最后在示波器上对自适应滤波器恢复的原始信号进行观察ꎮ
总体设计框架如图1所示
空包弹助退器
图1㊀总体设计框架
1㊀硬件设计
硬件模块分为信号加噪电路㊁AD/DA转换模块以及滤波模块三个部分ꎬ如图2所示
图2㊀硬件模块图
1.1㊀信号加噪电路设计
为尽可能模拟信号在实际传输过程中叠加的随机噪声ꎬ本文设计了信号加噪电路对原始信号进行加噪处理ꎮ噪声信号的相位起始是随机的ꎬ因此ꎬ本文设计了移相器完成噪声的相位随机化ꎬ随后通过加法器把随机化相位的噪声和原始信号进行叠加完成信号加噪处理[3]ꎮ移相器由RC移相电路和有源放大器构成ꎬ通过调整RC的值实
现相位控制ꎬ后置有源放大器则保证了信号幅值的稳定性ꎮ本文选用了1~10KΩ的高精度变阻器构成RC电路实现了0ʎ~180ʎ的可选移相控制ꎮ为满足输入噪声的带宽和幅度要求ꎬ选择了具有高压摆率(9V/μs)的电压反馈型运放芯片NE5532构成有源放大电路ꎮ此外ꎬNE5532是一款双运放集成芯片ꎬ可同时完成移相器和加法器功能ꎬ因而精简了信号加噪电路的设计ꎬ如图3所示
图3 信号加噪电路原理图
1.2㊀AD/DA转换模块
自适应滤波器属于数字滤波器ꎬ而前置加噪电路和后置示波器显示都属于模拟电路ꎬ因此需要将滤波器的输入和输出信号分别进行AD和DA转换ꎮ由于设计的自适应滤波器具有较高的工作频带(200KHz)ꎬ需要采样率高达2.5MSPS的芯片才能较好地采集到输入信号的细节ꎮ为满足设计需求ꎬ选择了高速AD芯片(AD9280)和高速DA芯片(AD9708)构成AD和DA转换模块[4]ꎮ此外ꎬ这两款芯片都是8bit精度并行传输的ꎬ只需一路同步时钟对八个管脚的进行管理ꎬ无需复杂的传输协议控制ꎬ大大减少了配置通信接口的难度ꎮ1.3㊀滤波模块
自适应滤波模块通常可使用单片机或FPGA两种方式实现ꎮ单片机通过软件程序完成滤波器逻辑结构设计ꎬ这种程序是顺序执行的ꎬ而自适应滤波器是对数字序列进行并发处理的ꎮ因此ꎬ单片机在设计自适应滤波器时非常不便ꎬ通常需要超高速的时钟才能保证多阶算法的迭代速度ꎮFPGA是一种可编程重构的大规模数字集成电路ꎬ其最大的优势是能够例化多个硬件模块对信号进行并行处理ꎬ流水线式的工作不但简化了滤波器结构的设计难度ꎬ而且大大提高了自适应算法的收敛速度[5]ꎮ综上所述ꎬ本文选择使用Altera公司的轻
奶报箱量级FPGA(CycloneIVEP4CE6E22C8)模块作为自适应滤波器的硬件设计平台ꎮ
2㊀LMS自适应滤波算法
常见的自适应滤波算法有两种:递归最小二乘估计(RLS)算法和最小均方误差(LMS)算法[6]ꎮRLS算法具有快速自适应跟踪能力ꎬ但其运算量非常大ꎮLMS算法的收敛速度比RLS算法慢ꎬ但运算量小ꎬ而且容易实现ꎮ因此ꎬ本文选择构建基于LMS算法的自适应滤波器ꎮ2.1㊀LMS滤波算法原理分析
基于LMS算法的自适应滤波器本质上是一个权值W(n)在不断修正的FIR滤波器ꎬ如图4所示ꎬ通过以下三个步骤完成权值调整ꎮ
(1)S(n)是噪声序列ꎬ长度为Nꎬ同时N也是
FIR滤波器的阶数ꎮ假设FIR滤波器的初始权值为W(n)ꎬ根据数字信号卷积计算公式可得到FIR滤波器的输出信号Y(n)ꎬ如公式1所示ꎻ
Y(n)=
ðk-n=1
k=0
W(k)ˑS(n-k)(1)
(2)由加噪信号T(n)和FIR滤波器的输出
信号Y(n)可以计算出系统的误差信号P(n)ꎬ如公式2所示ꎻ
8自适应滤波器的设计与制作
P(n)=T(n)-Y(n)(2) (3)根据误差信号可以由均方误差最小公式可计算出下一时刻的滤波器权值W(n+1)ꎬ如公式3所示ꎬ其中公式3中的μ为步长因子ꎬ表示当前误差信号对权值更新的占比ꎬ其大小会影响LMS算法迭代收敛的速度以及信号波形的恢复程度ꎮW(n+1)=W(n)+μˑP(n)ˑS(n-k)ꎬk=0ꎬ1ꎬ ꎬN-1(3)上述三个过程会不断地并发重复执行ꎬFIR滤波器的权值会根据误差信号P(n)不断更新ꎬ从而达到对各种噪声自适应的目的[7]ꎮFIR滤波器的输出会随着权值的更新越来越接近混叠在原始信号上的噪声ꎬ当LMS算法收敛时ꎬP(n)就是自适应滤波器系统恢复的原始信号
图4㊀LMS自适应滤波算法原理图
2.2㊀LMS自适应滤波器设计实现
设计的LMS自适应滤波器是在FPGA硬件
平台上实现的ꎬ使用了VerilogHDL语言进行设
计ꎬ采用了模块化的设计方式ꎬ主要包括3个模块
层次ꎬ如图5所示
图5㊀LMS自适应滤波器的FPGA实现框图包装箱制作
㊀㊀在实际工作过程中ꎬ数字序列在滤波器的输
入头间是往右传递的ꎬ因此需要一个信号延时模
块保证滤波器有充足的时间完成计算功能ꎮ本文
设计的FIR滤波器是24阶的直接型结构ꎬ每个滤
波器计算单元都是由权值计算和乘法器计算两个
部分(如公式1ꎬ3所示)组成ꎮ滤波器输出单元
把每个计算单元的输出累加得到FIR滤波器的总
输出ꎬ根据总输出可计算出误差信号(如公式2
所示)ꎬ最后将误差信号反馈给滤波器计算单元ꎬ
实现滤波器权值的自适应更新ꎮ
3㊀实际测试分析
为了验证方案的可行性ꎬ对设计的自适应滤
波装置进行了实际测试分析ꎮ测试方案为:首先
输入原始信号(15KHz㊁2V的正弦波)进行加噪
处理ꎬ然后将信号输入自适应滤波器装置ꎬ最后把
滤波器恢复的原始信号输入示波器观察记录ꎻ保09自适应滤波器的设计与制作
持原始信号输入不变ꎬ随机更改噪声信号的频率㊁幅度和类型ꎬ测试方案自适应滤波器的自适应效果ꎬ测试结果如表1所示ꎮ根据表1分析可知ꎬ本方案的自适应滤波器在滤除与原始信号频率差较大的噪声信号时效果较好ꎬ相对误差为2.5%ꎻ其次滤波器对高斯噪声的自适应能力比周期性噪声差ꎬ但相对误差也维持在5%的可接受范围内ꎬ并且信号的高斯毛刺会大幅度减少ꎬ如图6(a)所示ꎮ此外ꎬ本文还测试了自适应滤波器工作的极限频差ꎬ输入原始信号(15KHz㊁1V的正弦波)并使用(15.01KHz㊁1V的正弦波)进行加噪ꎬ经测试发现滤波器在10Hz的极限频率差下仍能较好的恢复原始信号ꎬ如图6(b)所示
图6㊀实际测试效果图表1㊀自适应滤波器实际测试效果
噪声信号原始信号滤波器输出/V相对误差/%1KHz㊁2V正弦波1KHz㊁2V三角波10KHz㊁2V三角波10KHz㊁2V三角波190KHz㊁1V正弦波190KHz㊁1V三角波
高斯噪声
15KHz㊁2V正弦波15KHz㊁2V正弦波15KHz㊁2V正弦波15KHz㊁2V正弦波15KHz㊁2V正弦波15KHz㊁2V正弦波15KHz㊁2V正弦波
1.941.921.921.911.971.951.90
3444.51.52.55
4㊀结㊀语
针对权值固定的传统滤波方法很难滤除未知特性噪声这一问题ꎬ设计了一套完整的自适应滤波器装置ꎮ首先使用加噪移相电路对原始信号进行模拟加噪处理ꎬ随后在FPGA平台上构建基于LMS算法的自适应滤波器ꎬ将加噪信号输入FPGA进行自适应滤波ꎬ最后将滤波器恢复的信号在示波器上观察ꎮ实验测试结果表明该方案对各类噪声都具有良好的自适应能力ꎬ同时FPGA硬件平台的设计也保证了算法迭代的速度ꎬ可有效地扩展本装置的实际使用场所ꎮ参考文献:
[1]㊀柏宇ꎬ杨欣爽ꎬ许豪ꎬ等.自适应滤波器的原理与应
用分析[J].中国新通信ꎬ2018ꎬ20(15):114.
[2]㊀郭一豪.基与LMS算法滤波的语音降噪研究[J].电
子制作ꎬ2018ꎬ354(13):58 ̄60+66.
[3]㊀郭成钧ꎬ胡佳文ꎬ袁汇丰.基于K60的自适应滤波器
的设计[J].数字技术与应用ꎬ2018ꎬ36ꎬ332(2):182 ̄183+185.
[4]㊀孙晓峰ꎬ戈文祺ꎬ汪友华.基于FPGA的暂态信号测
量系统的设计[J].电器与能效管理技术ꎬ2016(24).
[5]㊀黄金凤.浅谈单片机与FPGA的异同[J].电脑知识
与技术ꎬ2016(23).
[6]㊀曹素莎ꎬ韩军ꎬ全亮.一种基于RLS算法的XPIC技
术研究[J].电子测量技术ꎬ2018(19).
[7]㊀邵恩.基于自适应降噪的电缆放电检测设计及
FPGA实现[J].工业控制计算机ꎬ2019ꎬ32(1):53 ̄54+56.
打包交易
DesignandMakingofAdaptiveFilter
CHENZhendongꎬYANGFeifan
(SouthChinaNormalUniversityꎬGuangzhou510006ꎬChina)
Abstract:Adaptivefilterisakindoffilterwhichcanmodifyitsparametersinrealtimeaccordingtothenoisecharacteristicsꎬsoastoachievethebestfilteringeffect.Designedacompleteadaptivefilterdevice.Atfirstꎬusetheadderandthephaseshiftercircuittodealwiththenoisemixedwithoriginalsignal.ThenimportthemixedsignalinaFPGAmoduleafterADtransformꎬandcomplementaleastmeansquareerror(LMS)algorithmfora ̄daptivefilteronFPGAplatform.FinallyꎬexporttheoutputsignalafterDAconversionanditcanbeobservedontheoscilloscope.Thee
xperimentalresultsshowthattheadaptivefilteringschemecaneffectivelyfiltersinusoidalwaveꎬtriangularwaveandgaussiannoisewhicharemorethan10Hzdifferfromtheoriginalsignalinthefre ̄quencyrangeof1~200KHz.
Keywords:adaptivefilteringꎻproducenoisysignalꎻFPGAꎻLMSalgorithm
9自适应滤波器的设计与制作

本文发布于:2024-09-22 15:44:52,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/4/290181.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:信号   适应   噪声   加噪   进行   原始   设计
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议