全加器实现的8位行波进位加法器

全加器实现的8位⾏波进位加法器
全加器实现的8位⾏波进位加法器
串⾏进位加法器
⼀位全加器
思路:
串⾏进位加法器,可以⽤⼀位全加器做元件,来模拟串⾏进位加
法器。
步骤:
巧克力工艺品1、在D 盘新建⽂件夹ADDL ,启动quartus ,新建⼯程ADDL 。
2、新建“VHDL FILE ”⽂件,将⾃⼰的程序输⼊进去,保存,⽂件名为ADDL ,processs->Start Compilation 对源⽂件进⾏编译,有错改错。
3、Assignments>pins,对in 内的输⼊引脚input 和输出引脚output 完成设计以及pin 内的输⼊引脚input 和输出引脚output 完成设计,以ADDL.bdf 为⽂件名保存。
4、新建“Vector Waveform File ”⽂件ADDL.vwf ,引⼊引脚,设置输⼊节点的值,尽
量使其包含各种可能取值情况。
6、进⾏功能仿真,查看仿真结果看是否与功能表⼀致。 c out
S 7 a7,b7 a6,b6 S6
a0,b0 S0 a1,b1 S1 ...........
设计的仿真波形图
功能仿真结果图
元器件清单
全加器的代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity AADD is蓝组合
port
(
a,b,cin:in std_logic;//a和b代表输⼊的两个数;cout,s:out std_logic//cout是进位,s是输出);
end AADD;
architecture behave of AADD iS
begin
process(a,b)
//如何得到s和cout ?
end process;
end behave;
//全加器的设计代码;
library ieee;
use ieee.std_logic_1164.all;
entity ADDL is
port
(
count1,count2:in std_logic_vector(7 downto 0);//count1,count2代表相加的两个数;书立
ccout: buffer std_logic_vector (8 downto 1);//ccout⽤于保存俩数相加的进位,eg,第i位相加,进位保存在第i+1位;第0位没有进位不使⽤;
sum:out std_logic_vector(8 downto 0)//sum⽤来保存最终的结果;
);
end ADDL;
architecture struct of ADDL is
component AADD is
port
(
通用硒鼓
a,b,cin:in std_logic;
cout,s:out std_logic
);
end component;
begin
U1:AADD port map //第0位相加,以下类推;
( a=>count1(0),
b=>count2(0),
改性沥青生产设备cin=>'0',
cout=>ccout(1),
s=>sum(0) ); ..............................................
第1位,2位,3位呢?注意sum的第⼋位;
end struct;

本文发布于:2024-09-22 19:43:30,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/4/150272.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:保存   相加   引脚   进位
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议