正弦波发生器实验报告

正弦波发生器实验报告
摘要直接数字频率合成(DDS)是从相位概念出发直接合成所需要波形的一种新的频率合成技术。最大优点就是频率切换的速度极快(可达几微秒),并且频率、相位和幅度都可控,输出频率稳定度可达系统时钟的稳定度量级,易于集成化,更主要的是由于计算机参与频率合成,可充分发挥软件的作用。本系统利用80C51单片机、D/A转换器以及低通滤波器、NS12864液晶显示器设计的直接数字频率合成器,电路设计简单、频率控制灵活,具有良好的实用性,信号精度误差也在允许范围之内。
关键词直接数字频率合成  速度    实用性
一、引言
直接数字频率合成总体上由六个部分组成:键盘输入、液晶显示、相位累加器、ROM查询表、D/A转换和低通滤波器。键盘主要是起到对控制字的输入以及将其转换成频率在液晶上显示;DDS系统的核心是相位累加器,它由一个加法器和一个相位寄存器组成,每当输入一个采样时钟脉冲,相位寄存器以步长增加,相位寄存器的输出与相位控制字相加,相
支承板
位累加器的输出就增加一个步长的相位量,在波形存储器中存储着一张正弦函数查询表,对应不同的相位码输出相位不同的幅度编码。D/A转换器将数字量形式的波形幅值转换成模拟量形式。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。
二、系统方案
2.1设计要求
(1)正弦波输出频率范围:10Hz~10kHz;
(2)具有频率设置功能,频率步进:10Hz;
(3)输出信号频率稳定度:优于10-4;
(4)输出电压幅度:在负载电阻上的电压峰-峰值Vopp≥1V;
(5)失真度:用示波器观察时无明显失真。
2.2整体方案分析
大功率变频电源设基准时钟的频率为城市轨道交通控制,采用N位的相位累加器,频率控制字为K,则DDS输出信号的频率为
    对于输出信号的频率,我们采用通过改变K值来控制,K值主要是通过键盘输入的,每来一个时钟脉冲,就以步进K值来采样一次.具体实现的原理框图如下。
2.3原理框图
三、各部分理论分析与实现
3.1 相位累加器
由于要求输出的频率步进为10Hz,则=10,因要求输出频率范围为10Hz~10kHz,则1回转窑烧嘴k1000。要使输出的波形不失真,则只有在控制字K取最大时,在正弦波的一个周期内采样点数尽可能的多,又由取样定理可知,所产生的信号频率不能超过时钟频率的一半,在实际运用中,为了保证信号的输出质量,输出频率不要高于时钟频率的33%,以避免混叠或谐波落入有用输出频带内,在此设计的基准频率=0.33MHz因此=/10=3*,取N=15,当K=1000时,在正弦波一个周期内基本上可以取32点,可以达到无失真要求。编程生成的元件如图所示:
                   
3.2分频器
      由相位累加器部分分析出时钟频率要求为0.33MHz,由于FPGA的晶振频率为40MHz,40/0.33120,则要经过120分频。通过软件编程生成代码如图所示:
水烟炭  3.3 ROM查询表
      相位累加器输出位并不全部加到查询表,而要截断。相位截断减小了查询表长度,因为正弦波的一个周期内的特性:前T/2波形是关于对称的,后T/2波形可以将前T/2波形取反得到,因此只需在ROM查询表中存储0~波形的地址与数字幅值信息就行,节省了ROM空间。ROM查询表建立是通过C语言编程对正弦函数进行采样生成初始化的数据文件,也就是生成*.C文件,在dos环境下生成*.mif文件,从而生成ROM表,因D/A0832转换的位数是八位的,=256,三通截止阀所以将生成的ROM表的数字幅值范围设定为0~127,地址为0~8192。因=8192,因此从相位累加器输出的地址只需用13位的二进制表示就行。

本文发布于:2024-09-23 08:16:22,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/4/108730.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:频率   输出   相位   生成   信号   合成   数字   时钟
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议