EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告
                   
实验1  4选1数据选择器的设计
一、实验目的
  1.学习EDA软件的基本操作。
  2.学习使用原理图进行设计输入
  3.初步掌握器件设计输入、编译、仿真和编程的过程。
  4.学习实验开发系统的使用方法。
二、实验仪器与器材
  1.EDA开发软件                  一套
  2.微机                          一台
  3.实验开发系统                  一台
  4.打印机                        一台
三、实验说明
本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。
本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。
实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。
四、实验要求
气相防锈
  1.完成4选1数据选择器的原理图输入并进行编译;
  2.对设计的电路进行仿真验证;
  3.编程下载并在实验开发系统上验证设计结果。
五、实验结果
  4选1数据选择器的原理图:
仿真波形图:
管脚分配:
              实验2  四位比较器
一、实验目的
  1.设计四位二进制码比较器,并在实验开发系统上验证。
led台灯转轴  2.学习层次化设计方法。
二、实验仪器与器材
  1.EDA开发软件                一套
  2.微机                        一台
  3.实验开发系统                一台蒸馏水发生器>压延膜
  4.打印机                      一台
  5.其它器件与材料              若干
三、实验说明
本实验实现两个4位二进制码的比较器,输入为两个4位二进制码,输出为M(A=B),G(A>B)和L(A<B)(如图所示)。用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。
四、实验要求
  1.用硬件描述语言编写四位二进制码
比较器的源文件;
  2.对设计进行仿真验证;
  3.编程下载并在实验开发系统上进行
硬件验证。
分子动力学仿真                                                  四位比较器功能框图
五、实验结果
四位比较器VHDL源文件:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;空调百叶风口
entity comp4 is
    port (A:in std_logic_vector(3 downto 0);
          B:in std_logic_vector(3 downto 0);
          M,G,L:out std_logic);
end comp4;
architecture behave of comp4 is
begin
    p1: process(A,B)
        begin
            if (A>B) then G<='1';M<='0';L<='0';
            elsif (A<B) then G<='0';M<='0';L<='1';
            elsif (A=B) then G<='0';M<='1';L<='0';
            else G<='1';M<='1';L<='1';
        end if;
    end process p1;

本文发布于:2024-09-23 01:22:15,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/4/101283.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:实验   设计   输入
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议