基于FPGA的函数信号发生器

总第45卷第509期2008年第略期
电测与仪表
EleetrlcIflMeasurement&Instrumentation
VOI.45No.509
May.2008
大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。而且它的时钟频率已可达到几百兆赫兹。加上它的灵活性和高可靠性,几乎可将整个设计系统下载于同一芯片中,实现片上系统(soc),非常适合用于实现波形发生器的数字电路。
1DDS的基本原理
直接数字合成器(DirectDigitalSynthesizer,DDS)鲫是一种新型的频率合成技术。基本原理是用全数字技术.从相位概念产生频率、相位和幅度可以控制的
正弦波。具有较高的频率分辨率,可以实现快速的频率切换,并且在频率改变时能够保持相位的连续,
很容易实现频率、相位及幅度的数控调制。DDS的基本结构如图1所示.主要由相位累加器、相位调制器、正弦ROM查表和D/A构成。相位累加器、相位调制器、正弦ROM查表是DDS结构中的数字部分。相位累加器是整个DDS核心,完成相位累加运算。相位累加器的输入是相位增量B曲。只要对相位的量化值进行简单的累加运算.就可以得到正弦信号的当前值。用于累加的相位增量值如决定了信号的输出频D,
率厶==等征皿,并呈线性关系%=2一·笋,因此相位2.,硪
累加器的输入又称为频率字输入。相位调制器接收相位累加器的相位输出,加上一个相位偏移量,用于信号的相位调制。其输入称为相位字输入。正弦ROM查表完成.厶(岛)的查表转换,即相位到幅度的转换.它的输入是相位调制器的输出,就是ROM的地
图2函数信号发生器模型
图3函数信号发生器输出波形
可以控制函数信号发生器的频率及相位,很容易实现频率和相位的快速切换。其算法仿真输出波形如图3所示。
算法仿真正确后,用SingalCompiler工具将此模型转换成VHDL语言源程序。经过
分析转换后的VHDL程序并将其转换为A’roMnetlist,最后编译ATOMnetlist。至此建模完成。
图1基本DDS结构
址。
2函数信号发生器的建模设计
根据DDS的基本原理.用DSPBuilder建立如图2所示的函数信号发生器模型。图2中TRAGout信号为基本DDS信号。可以产生频率可控的正弦信号,与相位字的累加可以改变输出信号的相位;Subsystem为函数信号发生器查表模块LUT。存储正弦波、锯齿波、方波、三角波数据。通过频率字及相位字的改变一50一
Verilog/VHDL混合仿真器,执行tcl脚本文件.得到如图4所示的功能仿真图和模拟信号仿真。其模拟信号仿真结果与在MATLAB中算法仿真结果完全一致。
ModelSim完成的RTL级仿真只是功能仿真,其仿真结果并不能精确反映电路的全部硬件特性,进行门级的时序仿真仍然十分重要,确保设计的函数信号发生器的时序特性。以保证硬件器件的功能与设计一
致。在QuartusII下打开项目文件,设置器件为
总第钙卷第509期2008年第05期
电测与仪表
ElectriealMeasurement&Instrumentation
Vd05ND509
May.2008
图4ModelSim功能仿真结果和模拟信号仿真
图5Qu砒崛II仿真波形(门级时序仿真)
EPIC6Q240C8罔,编译后进行时序仿真,其门级时序仿现。因此采用基于FPGA的DSPBuilder设计函数信真波形如图5所示。号发生器简单快捷且方便可调。实验表明系统产生的由图5可以看出,其输出波形为正弦波、锯齿波、波形稳定,抗干扰能力强,频率、相位和幅度调节方方波、三角波数据,与图4功能仿真结果相比较,其功便,精度高,而且又便于修改和扩充其功能,达到需要能仿真与时序仿真结果完全一致。的函数信号发生器,有一定的开发及生产价值。
QuartusII对ATOM网表文件进行适配。产生参考文献
FPGA目标器件的编程与配置文件。最后锁定引脚。【l】尹继武,王少华.基于PC声卡的超低频函数信号发生器的设计田.电把.sof文件下载到目标器件.输出信号分别接入示波测与仪表,2006,(4):58-60,64·
器,可以看到正弦波、锯齿波、方波、三角波的信号输鬈嚣淼喜£嚣频率厶成的虚拟信号发生器研究叭出,与仿真结果完全一致,达到设计要求。改变频率字【3】韩喜春,刘柏森,亲嘉等.基于FPGA的可重构多通道DDs信号发生及相位字就可以看到函数信号的频率及相位的改变,器叽电测与仪表,2007,仃):50-52.
真正实现函数信号的频率及相位的可灵活调整且分【4】潘松,黄继业,曾毓.SOlE技术实用教程【M】.北京:清华大学出版社,辨率高,能够实现频率及相位的快速切换。2005’
4结论鬯昭胜·管立新·基于脚Bu妇的DDs实现及其应用[J】.散计算机
信怠.2006.1112"1.186--188.
基于DSPBuilder的FPGA开发工具对函数信号【叼李三鹏,嘉刍:沈军.基于DDs技术实现信号发生器[J].微计算机信发生器进行建模设计,充分利用DSPBuilder的建模息。2007。19(2):175—177.
方便快捷,提高开发效率,缩短研发周期,而且系统的川谢水珍.基于Labview的虚拟函数信号发生器的设计田.徼计算机信调试方便,容易修改。结果表明该函数信号发生器频息,2007,ol(s):181—182·
率及相位可灵活调整,具有较高的频率分辨率,能够【81A1竺c删帆AhmDigim蚓习·Ah雠,2∞乞
实现频率及相位的快速切换。如要改变输出波形的步蒹j:二;j品3一):男。汉族,四川开江人,实验师,研究方向为微计算机、进精度,只需在函数信号发生器设计模型中,将频率信号处理。
Email:haoxiaojiang@163.一
控制字、相位控制字的宽度改变即可;如要增加幅度收稿13期:2008-02—11控制,只需要在模型中用乘法器控制输出幅度即可实(扬长江编发)
一S1一
基于FPGA的函数信号发生器
作者:郝小江, 罗彪, HAO Xiao-jiang, LUO Biao
作者单位:郝小江,HAO Xiao-jiang(攀枝花学院,电气信息工程学院,四川,攀枝花,617000), 罗彪,LUO Biao(江西中烟工业公司,井冈山卷烟厂,江西,吉安,343100)
刊名:
电测与仪表
英文刊名:ELECTRICAL MEASUREMENT & INSTRUMENTATION
年,卷(期):2008,45(5)
被引用次数:2次
1.潘松;黄继业;曾毓SOPC技术实用教程 2005
2.韩喜春;刘柏森;张丽基于FPGA的可重构多通道DDS信号发生器[期刊论文]-电测与仪表 2007(07)
3.王丹;李平;文玉梅采用DDS频率合成的虚拟信号发生器研究[期刊论文]-传感技术学报 2007(03)
4.尹继武;王少华基于PC声卡的超低频函数信号发生器的设计[期刊论文]-电测与仪表 2006(04)
5.赖昭胜;管立新基于Dsp Builder的DDS实现及其应用[期刊论文]-微计算机信息 2006(02)
6.Altera Corporation Altera Digital Library 2002
7.谢水珍基于Labview的虚拟函数信号发生器的设计[期刊论文]-微计算机信息 2007(zk)
8.李志鹏;郭勇;沈军基于DDS技术实现信号发生器[期刊论文]-微计算机信息 2007(02)
1.学位论文张莹双路DDS函数发生器A路信号及主控部分的设计2008
直接数字频率合成(DDS)技术是最新发展起来的一种信号产生方法。本课题基于此技术,设计了一种适用于实验教学的双路输出函数信号发生器,其中,A路采用集成DDS芯片AD9850,B路采用可编程逻辑器件实现DDS。<br> 
本设计的任务是实现A路信号的输出,包括正弦、方波、脉冲及调幅几种常用波形,结合键盘和显示部分,构成一个完整的信号源。本文对几种波形的产生及控制过程进行了详细论述。正弦和方波由AD9850产生,脉冲波由CPLD产生,幅度调制部分采用AD835乘法器,实现常规双边带调幅。控制部分依次为,对信号进行幅度控制,再经过由AD844构成的两级运放,分别实现电压放大和功率放大,最后由固定衰减网络对信号幅度实现0~-40dB的衰减。键盘部分采用编码式键盘芯片HD7279A,以串行方式与单片机进行通信。显示部分使用内藏T6963C控制器的点阵式液晶显示器HS12864显示数据及功能。整个系统的协调工作由单片机AT89C52控制。<br> 
本设计对主要部分进行了测试,并对实验数据进行了分析,结果证明其性能较好。主要体现在:频率稳定度高,波形幅度分辨率高,尤其是自行设计的CPLD数字脉冲信号发生器产生的窄脉冲宽度最低可达20ns,常规双边带调幅能够实现0~100%的调制度,且线性较好。<br> 
本系统能够实现信号源的基本功能,适用于实验教学,成本较低,并且某些性能高于市场上现有的一些DDS信号源。
2.学位论文殷雷基于DDS技术的高精度信号源设计2009
函数信号发生器是电子电信科学领域和实验室的常用设备之一,在教学、科研、生产、生物工程、遥控遥测等众多领域都有着广泛的应用。随着电子技术的发展,人们对信号源的频率分辨率、频率范围、频谱纯度等提出越来越高的要求。而传统的频率合成方法设计的信号源在功能、精度等方面存在频率精度低、分辨率不高、频带窄、输出波形有限等缺陷和不足,不能满足实际要求。基于DDS的高精度信号源就是根据函数信号发生器的这种使用现状而设计研制的。
直接数字频率合成(DDS)技术是于二十世纪七十年代提出的一种新的频率合成技术。DDS采用全数字的频率合成方法,采用DDS技术设计的信号发生器具有极高的频率分辨率和精确度,并具有频率切换速度快、相位噪声低、频率切换时相位连续等优点,克服了传统模拟信号源的缺点和不足,是目前信号发生器研究的主要方向。本设计以AD公司的直接数字频率合成芯片AD9852为核心,以Philips公司的ARM7芯片LPC2138为控制芯片,触摸屏作为人机交互界面,配以嵌入式操作系统μC/OS-II,对高精度信号源进行设计。通过实验说明,本设计输出频率带宽可以达到0.001Hz~50MHz,频率分辨率
0.001Hz,并具有很高的频率精度与稳定度。该信号源现已在浙江师范大学数理学院本科生电子实验室得到应用,达到了电子教学与实验的要求。
本文首先介绍了频率合成技术的历史及发展趋势,分析了几种主要的频率合成原理,对其优缺点进行
了比较,并根据DDS技术的显著优点,最终确定了采用DDS技术研制高精度信号源。
然后对DDS的原理进行了详细阐述。主要包括DDS的组成结构介绍,DDS的数学分析、频谱分析和杂散分析,并给出了DDS技术在应用设计中的杂散抑制方法。
本文接下来对信号源系统的研制方法进行了介绍。首先对系统中芯片的选取,系统整体的软硬件设计进行了详细的说明。然后分别对系统的软件设计及硬件设计进行阐述。信号源系统的硬件模块设计包括电源模块、触摸屏液晶硬件模块、ARM7控制模块、AD9852信号发生模块以及低通滤波模块等部分。系统软件设计部分主要介绍了在μC/OS-II系统下,信号源系统软件操作功能的实现,并创新性地设计了一种ARM7系统下48位频率控制字的处理方法。
然后对信号源系统的调试分析进行了阐述。主要对信号源系统的上电调试进行说明,并对频率输出信号进行了测试分析。同时给出了本设计中遇到的软硬件问题的解决方案,以及对信号源系统进行改进的。
最后对全文进行了总结,并对下一步工作进行了展望。
3.期刊论文王蓉.徐琼燕.WANG Rong.XU Qiongyan基于CPLD的函数信号发生器设计-现代电子技术2009,32(24)
针对传统信号源精度低的特点,提出一种新的函数信号发生器设计方案.这里介绍的函数信号发生器由CPLD、单片机控制模块、键盘、LED显示、
D/A转换模块组成.采用直接数字频率合成(DDFS)技术,用单片机控制CPLD的方法产生正弦波、方波、三角波和占空比可调的矩形波.该系统具有频率范围宽,步进小,幅度和频率的精度高等特点.
4.学位论文田汉平基于FPGA的函数信号发生器设计2008
函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、雷达、测量、控制、教学等领域应用十分广泛。随着电子技术的发展,对信号
针对上述情况,本文采用直接数字合成(Direct Digital Synthesis,DDS)技术,以现场可编程门阵列(FPGA)作为硬件基础,设计函数信号发生器。直接数字频率合成(DDS)是先将所需产生的信号波形的一个周期的若干个样点的幅值的二进制信息存储在波形存储器中,再通过硬件电路依次从波形存储器中读取出来,经数/模转换及滤波后得到所需信号波形,其查表合成波形的方法可以满足产生任意波形的要求。本设计中,选用了Altera公司的
EP1C6Q240C8芯片作为产生波形数据的主芯片,利用Altera的设计工具Quartus II 6.0、MATLAB 7.0/DSP Builder 6.0并结合VHDL语言,为多种波形信号发生器的设计提出了一种较为简单的解决方案。
根据各种波形产生的基本原理,利用MATLAB/DSP Builder建立其数学模型,然后利用Altera公司提供的SignalCompiler工具对其进行编译,产生Quartus II能够识别的VHDL源程序。利用该VHDL源程序结合自己编写的一些附加控制程序,给出了多种波形信号发生器的顶层原理图,经过波形仿真后,下载到目标器件中。通过系列实验表明,该函数信号发生器可产生调幅波、正弦波、方波、三角波、锯齿波、调频波等多种波形,而且各波形的频率和幅度可调,可根据用户需要进行现场可编程,达到了预定的要求。
5.期刊论文杨东芳.YANG Dong-fang合成函数信号发生器的设计-仪表技术与传感器2010(6)
该设计是以FPGA为核心,以C8051F005单片机作为系统控制器,利用直接数字频率合成(DDFS)技术来产生所需要的波形.并且可通过无线传输任意波形数据.通过使用芯片DAC908与AD603实现信号的输出,通过NE5532的调整,使输出信号在0~5 V内可调(负载为50 Ω时).
6.期刊论文殷雷.金海军.李映雪.余水宝.YIN Lei.JIN Haijun.LI Yingxue.YU Shuibao基于DDS的高精度函数信
号发生器的研制-现代电子技术2009,32(1)
基于直接数字频率合成技术(DDS),采用单片机实现对DDS芯片AD9852的控制,提出一种高精度函数信号发生器的实现方案.重点介绍了单片机与
AD9852的硬件接口电路、整个系统的软件设计以及单片机中对48 b频率控制字的处理方法.此方法在单片机程序设计中处理多于32 b的整型数据时具有借鉴意义.此系统具有高频率、高精度的主要特点,且控制灵活方便,具有广阔的应用前景.
7.学位论文黄振华基于FPGA函数信号发生器的设计与实现2009
任意波形发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。
本文首先介绍了函数波形发生器的研究背景和DDS的理论。然后详尽地叙述了用FPGA完成DDS模块的设计过程,接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。最后就这三个部分分别详细地进行了阐述。
在实现过程中,本设计选用了Altera公司的EP2C35F672C6芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了三星公司的上S3C2440作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具
频率控制字
QuartusⅡ并结合Verilog—HDL语言,采用硬件编程的方法很好地解决了这一问题。论文最后给出了系统的测量结果,并对误差进行了一定分析,结果表明,可输出步进为0.01Hz,频率范围0.01Hz~20MHz的正弦波、三角波、锯齿波、方波,或0.01Hz~20KHz的任意波。通过实验结果表明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA技术实现任意波形发生器的方法是可行的。
8.期刊论文于亚萍.刘源.刘华基于SOPC的DDS函数发生器的设计-工业控制计算机2009,22(2)
采用SOPC和DDS技术,基于FPGA芯片设计了一个多功能函数信号发生器.该函数发生器的按键控制电路、信号频率显示电路、波形产生电路以及D/A转换控制电路均由FPGA完成.用嵌入NiosⅡ软核作键盘输入控制.各种波形离散点采用分区存储的方法,存储在一个ROM中.
9.学位论文万永波基于ARM的任意波发生器开发研究2006
任意波形发生器(简称AWG)是跟随着计算机技术和微电子技术的不断进步而形成和发展起来的一类新型信号源。来自很多行业的用户都在不断的面对各种新型电路,这些电路在设计、生产和测试过程中需要一些传统函数信号发生器难以生成的测试信号,并且对信号源的各项性能指标均不断提出了更高的要求。
直接数字频率合成(DDS)是近年来发展较快的一种新型频率合成技术,主要由相位累加器、波形存储器、D/A转换器、低通滤波器等构成,其中几乎所有频率合成所用的模块都可由数字器件实现,因此可以很大程度的提高输出信号的综合性能指标。基于DDS技术的任意波形发生器具有输出频率稳定、准确、波形质量好和输出频率范围宽等一系列独特的优点。在本设计中的任意信号发生器的设计中,利用两组DDS来实现信号的产生,其中一组是采用AD公司的专用高性能DDS芯片AD9854,用于完成正弦与方波信号的输出,并包括常用的几种信号调制输出波形的生成;另一组是由DSP、SRAM与CPLD利用EDA工具设计实现的DDS信号发生器,用于锯齿波、三角波及任意波形的产生。两组DDS均由主控制板统一管理,可以对输出波形的各项参数根据用户需要进行修改设置。
ARM处理器是近年高速发展起来的高性能32位嵌入式微控制器,凭借其低功耗、高性能,在32位嵌入式微控制器领域处于绝对的领先地位。任意信号发生器的控制核心是韩国Hynix半导体公司生产的基于ATM720T内核的处理器HMS30C7202,利用处理器提供的各种片内外设包括SDRAM、SRAM、UART、LCD、USB、矩阵键盘等控制器模块,可以设计出任意信号发生器的主控制电路。信号发生器硬件主要由控制器板、信号产生与调理板和电源模块组成。键盘和液晶显示均是由控制板引出。硬件系统中所涉及的原理与接口技术在文中都给出详细的说明与图解。
主控制电路在HMS30C7202处理器提供的硬件平台上可以实现的功能包括:各种信号的频率、幅度、相位、偏移等参数的修改;利用大屏幕TFTLCD显示屏与矩阵键盘构建友好人机界面,实现非常方便
的用户操作与编程;可以通过硬件系统提供的通讯端口(USB或UART)实现系统软件的升级换代;利用CF存储卡接口,可以将存储在CF卡中的波形数据精确的还原输出,并可实现波形参数的修改,给出了实现这些功能的部分源程序。CF存储卡中波形数据可以由示波器采集信号的产生,也可以由PC机通过专用波形生成软件产生。波形数据的存取与访问是基于FAT16文件系统进行操作的,对FAT16文件系统的结构及数据访问的方法进行了较为详细的说明并给出了实现的部分源程序。最后对DDS技术和任意波形发生器技术做了进一步的展望。
10.期刊论文景国新.JING Guo-xin基于DDS技术的函数发生器设计与实现-实验科学与技术2006,4(z1)
通过对DDS技术的研究,设计了一种多功能函数信号发生器.该发生器以DDS集成芯片AD9954为核心,借助单片机的强大数据处理与控制能力,再配以必要的外围器件,实现了高性能与操作灵活的有机结合.为实验室仪器设备的性能提升提供了一个新平台.
1.罗泉.刘芝.刘桂英基于FPGA的DDS信号源设计[期刊论文]-广西师范学院学报(自然科学版) 2009(2)
2.高士友.胡学深.杜兴莉.刘桥基于FPGA的DDS信号发生器设计[期刊论文]-现代电子技术 2009(16)
本文链接:d.wanfangdata/Periodical_dcyyb200805013.aspx
授权使用:山东大学(sddx),授权号:81dd7e94-299d-4d9a-910c-9f0e00c08140
下载时间:2011年6月26日

本文发布于:2024-09-21 20:42:28,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/350446.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:信号   频率   设计   波形   相位
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议