ModelSim常见错误原因及解决

1.常见错误:Failed to find INSTANCE ...,
问题出在下面所示的第2步或第4步。
复合肥振动筛正确处理步骤
(1)Quartus中编译测试码欲调用的顶层模块(设模块名:xxx),设置EDA tool 的simulation 工具,如verilog ,以产生xxx.vo文件及xxx_v.sdo延时文件;
(2)打开modelsim,新建工程,添加xxx.vo文件、测试用激励波形文件testbench.v、所用器件的网表文件(以cyclone为例:$Quartus\eda\sim_lib\cyclone_atoms.v)。全部编译。
水库闸门
!!:确认testbench.v中不包含顶层模块xxx代码。
(3)xxx_v.sdo复制到modelsim工程目录。
(4)最后,建立仿真配置文件:在workspace的project页中右键单击,选simulation configuration,
如下图
于是,workspace中得到simulation 1。按下图设置:
注意,上面的框中添加xxx_v.sdo,下面的文本框中设置作用域,格式为:
ic卡智能门锁\testbench模块名\xxx的实例名。
(5)`timescale 影响仿真结果显示效果。
=================例===================
[1]//文件count4.v
module count4(out,reset,clk);
output[3:0] out;
input reset, clk;
reg[3:0] out;
always @(posedge clk)
ct二次过电压保护器
begin
if (reset)
out <= 0;
else
out <= out + 1;
end
endmodule
电磁屏蔽罩[2]//测试文件count_tp.v
`timescale 1ns/1ns
module count_tp;
reg clk,reset;
wire[3:0] out;
parameter DELY = 100;
count4 mycount(out,reset,clk);
always #(DELY/2) clk = ~clk;
initial
begin
clk = 0; reset = 0;
#DELY reset = 1;
#DELY reset = 0;
#(DELY*20) $finish;
end
initial $monitor($time,,,"clk=%d reset=%d out=%d",clk,reset,out);
endmodule
[3]所用器件:cyclone
[4]结果:明显产生了时延
p.s.-----------------------
网上有朋友指出勾选SDF中的两个选项,将原来的警告禁止,将原来的错误变为警告,
可以成功地进行反标注并运行仿真,但这样做并没有解决实际问题,只能产生功能仿真,
没有得到带时延的时序仿真
2.** Error: D:/ModelSim_6.5/ModelSim
near "'t": Illegal base specifier in numeric constant.
syntax error, unexpected "BASE", expecting "class"
错误原因:不是timescale 而是`timescale,那个是键盘左上角的点,不是一撇。
3. ** Error: (vsim-SDF-3894) cnt_v.sdo: Compiled SDF file was not found.?
华清远见的视频教程认为:
这是ModelSim软件本身的问题。光纤器件
提供的解决办法是:
建Project。把除sdf文件以外的文件添加到工程编译。然后打开start simulation对话框,选design页,选中testbenc件,再点开sdf页,添加sdf文件,选中左下角的两个选项(禁止警告,把错误变成警告)。继续仿真即可。
问题的答案似乎是以下两个人的回答综合。我在这里引用一下。因为我按这种方法可行了。

本文发布于:2024-09-25 06:27:29,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/237427.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:文件   问题   警告   模块   添加
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议