数字电路课程设计——函数信号发生器

目录
第一部分:要求 (4)
1.1 技术要求 (4)
1.2 功能要求 (4)
1.3 本人任务 (4)
第二部分设计 (5)
2.1 软件电路方案设计 (5)
2.2 原理框图 (5)
第三部分单元模块设计,仿真结果及分析 (5)
3.1 分频器模块 (5)
3.2 频率选择 (6)
3.3 幅度选择 (7)
3.4 六种波形设计 (8)
3.5 输出模块 (19)
第四部分顶层模块 (21)
光通量测试
4.1 顶层模块设计 (21)
4.2 仿真结果及分析 (19)
第五部分硬件电路设计及安装图 (21)
5.1 连线图 (24)
5.2 输入、输出信号说明 (24)
5.3 设计中需要注意的问题 (25)
第六部分调试结果说明及分析 (26)
6.1 实体图 (26)
6.2 输出实物波形 (26)
三爪卡盘结构
6.3 设计中需要注意的问题 (32)
第七部分收获体会 (30)
1.1 技术要求
1).生成方波、三角波、正弦波;
2).可以进行简单的频率选择或相幅调节;
3).在完成前三种波形的情况下可以进行波形类别的扩展;
4).用VHDL语言设计符合上述功能要求的函数发生器,并用层次化设计方法设计该电路;
5).对各个模块的功能进行仿真,并掌握数字信号发生器电路的设计及其调试方法。
1.2 功能要求
实现基于FPGA的简易多功能信号发生器,产生稳定的方波、三角波、正弦波、锯齿波、阶梯波、梯形波输出,并用数码管输出相应数字,且频率、幅值可调。
具体要求如下:
通过拨动开关S3、S2、S1实现波形的选择:共六种,同时数码管显示“1”到“6”;人脸定位
通过拨动开关A2、A1实现波形幅度的选择:共计四种;
通过拨动开关F2、F1实现波形频率的选择:共计四种;
荧光球下载并测试电路的功能,用示波器观察DAC0832输出波形。
1.3 本人任务
进行基于vhdl的软件设计,包括顶层模块设计,配合硬件进行硬件仿真和测试。
第三部分 单元模块设计,仿真结果及分析
3.1 分频器模块
实体框图
程序清单:
library ieee;
use ieee.std_logic_1164.all;
entity fana is
port(a:in integer RANGE 0 TO 312;    --a 为频率输入的初始值
clk:in std_logic;
q:out std_logic);              --q 为输出的脉冲频率
end;
architecture fana_arc of fana is
begin
打火机设备process(clk)
variable b,d:std_logic;
variable c:integer RANGE 0 TO 312;
2.1 软件电路方案设计
对六个波形模块和三个选择模块进行单独编写,最后设计顶层模块输出。
在确定课题的第一天,我和我的组员查资料,初步确定了电路的设计方案。
2.2 原理框图
信号输出
微拟球藻波形选择 频率选择 幅度选择        输出模块
begin
if clk'event and clk='1' then
if b='0' then                      --检测b
c:=a-1;                      --b为低电平则将a-1送到c
b:='1';                      --且令b=1
else
if c=1 then                --b为1时检测c                                          b:='0';              --c=1时使b=0
d:=not d;            --d取反
else  c:=c-1;              --c不等于1时c-1
end if;
end if;
end if;
q<=d;                            --将d作为频率输出
end process;
end;
分析:这一部分主要实现对fpga内部时钟的初始分频。波形如上,当输入a
为256时,每256个clk脉冲后q发生一个脉冲。
3.2 频率选择
实体框图
程序清单:
library ieee;
use ieee.std_logic_1164.all;
entity chuzhi is
port(f0:in std_logic_vector(1 downto 0);      --f0初始频率选择开关
q:out integer RANGE 0 TO 312);
end;
architecture chu_arc of chuzhi is
begin

本文发布于:2024-09-22 11:37:16,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/224602.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   选择   输出   波形   模块   频率
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议