西安邮电大学课程教案

西安邮电大学课程教案
课程名称:  集成电路设计课程设计   
授课教师:                         
授课教师所在学院: 电子工程学院     
授课班级:                         
授课学期:                         

1、基本信息
有起子
课程名称
集成电路设计课程设计
课程性质
√必修
○限选
○选修
○素拓
○跨学科
授课专业班级
学生人数:
所处年级
一年级
二年级
三年级
四年级
总学时
60
理论课时
0
实验课时
60
学分
2
课程教材
--
上课时间
上课地点
答疑时间
--
答疑地点
--
先修课程
Verilog HDL设计基础
本课程在授课对象所学专业人才培养中的作用与地位
通过本课程设计,可进一步应用和巩固《数字集成电路设计》、《EDA技术实验》等课程所学知识,使学生对数字集成电路的设计流程有较完整和深入的认识,能够较熟练地应用相关EDA工具(DCPTNC_Verilog)进行数字集成电路的设计开发,进一步培养学生集成电路设计、综合及静态时序分析能力和较好的学习与实践能力。
本课程在知识传授、能力提升、素质培养各方面的教学目标
巩固《数字集成电路设计》、《EDA技术实验》等课程所学知识,要求学生根据指导教师布置的设计题目,根据集成电路设计流程,使用EDA工具完成电路的系统结构划分、Verilog语言描述、功能仿真、综合、静态时序分析及后仿真等。通过本课程设计,学生可对数字集成电路设计流程有较完整和深入的认识,可进一步增强应用相关EDA工具的能力,为今后从事数字集成电路设计奠定良好的基础。
学生情况分析
    经过《数字电路与逻辑设计》,Verilog HDL数字系统设计》,《数字集成电路设计》,EDA技术实验》等课程的学习,学生已经对数字集成电路设计的基本流程有了一定了解和掌握。但如何使学生综合应用所学知识,完成一个较复杂电路从前端到后端的设计工作(包括系统结构划分、Verilog语言描述、功能仿真、综合、静态时序分析及后仿真等)仍然是难点,需要提高。所出题目难度适中,学生可基于题目完成数字集成电路从前端到后端的设计工作,从而提高学生数字集成电路设计的实践能力。
    部分学生参与过科研活动或学科竞赛,并取得了一定的成绩,实践动手能力相对较强。可通过划分小组,让这些同学带动其他同学,通过互相讨论、学习,使基础较弱的同学也能跟得上、学得懂、做的出。另外,大四学生面临考研、工作,出勤率相对较低,需加强平时成绩考核,以保证学习效果。
二、课程大纲
    《集成电路设计课程设计》课程教学大纲
Course Project for IC Design
课程编号DZ240060                 适用专业:集成电路设计与集成系统
先修课程:                              数:2
总学时数2                      实验(上机)学时:2
考核方式:系考
孟李林                  编写日期:2010-07-2
一、课程性质和任务
本课程设计属于实践课程,主要针对集成电路设计与集成系统专业本科生,是重要的实践教学环节,应安排在第七学期后两周。通过本课程的实践学习,使学生巩固《数字集成电
路设计》、《CMOS模拟集成电路设计》、《EDA技术实验》等课程所学知识,熟练掌握集成电路设计的流程,熟练使用集成电路设计流程中的相应EDA工具软件,使学生初步具有对集成电路设计的综合能力和实践能力。
二、 无膜电池课程教学内容和要求
课程设计要求学生根据指导教师布置的设计题目,使用EDA工具完成集成电路设计全部设计流程,包括:选题,需求分析,技术规范制订,详细方案设计,电路设计,设计功能仿真,电路综合,静态时序分析,版图设计等。
通过本课程的训练,使学生对集成电路设计流程有较完整和深入的认识和理解,能够熟练掌握和应用相关的EDA实现工具,培养学生初步的集成电路综合设计能力和较好的学习与实践能力。
第一章 选题
由教师提供设计题目,学生自己选题,完成IC设计流程的实践学习
第二章 需求分析、技术规范制订
对选题进行需求分析,提出合理的设计需求,制订相应的技术规范。
掌握功能的定义和特点取舍,掌握接口的划分和接口时序的制定。
第三章 详细方案设计
熟悉设计方案编写格式。
针对所选题目,编写出详细设计方案。
第四章 电路设计
熟练掌握HDL,针对设计需求,采用HDL进行电路设计。
第五章 设计功能仿真
熟悉仿真工具的使用。
熟练应用EDA仿真工具进行设计功能仿真验证。
第六章 电路综合
理解电路综合的概念。
理解Tcl语言,掌握综合约束脚本的写法。
熟悉电路综合工具,完成设计电路的综合。
第七章 时序分析
理解静态时序分析中基本概念。
掌握PT工具的基本使用方法。
采用EDA仿真工具对所设计的电路进行时序仿真验证。
第八章 版图设计
熟悉EDA版图设计工具。
采用EDA版图设计工具完成设计电路的版图设计,包括:布局(P&R)、参数
提取、设计规格检查(DRCERC)、版图与网表的一致性检查(LVS)
三、各教学环节的学时分配
本课程设计属于实践课程,教学环节集中安排在2周进行。为保证达到预计的教学目的,课程设计可以分组进行,以小组为单位分别进行资料的收集、方案论证、实验及改进。具体实践教学的学时分配如下表:
ktkp-073冰雕模具
miae-043项目
章节
主要内容
学时分配
讲课
习题课
实验
上机
合计
第一章
选题
3
3
第二章
需求分析、技术规范制订
12
12
第三章
详细方案设计
6
3
9
第四章
电路设计
6
6
第五章
设计功能仿真
6
6
第六章
电路综合
6
6
第七章
时序分析
12
12
第八章
版图设计
6
6
合计
3
24
33
60
四、实验部分教学内容和要求
1实验所需设备及材料
序号
环境、设备名称
数量
备注
1
工作站
1
每人一套
2
EDA仿真工具(Ncverilog/Ncsim
1
3
EDA综合工具(Design Compile
1
4
EDAnifeshe时序分析工具(PrimeTime
1
5
EDA版图设计工具(Silicon Ensemble/Apollo/Astro
1
五、本课程与其它课程的联系
本课程设计的先修课程为:《数字电路与逻辑设计》,Verilog HDL数字系统设计》,《数字集成电路设计》,《模拟集成电路设计》,EDA技术实验》等。
通过本课程设计的实践学习使学生达到巩固《数字集成电路设计》、《CMOS模拟集成电路设计》、《EDA技术实验》等课程所学知识。
六、建议教材及参考资料
(1) Cadence NC-Verilog说明书
(2) Synopsys DC 培训教材
(3) Synopsys PT 培训教材

本文发布于:2024-09-24 12:23:55,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/176187.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   课程   学生   综合   实践   数字
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议