详细干货讲解:集成电路产业中版图设计

详细⼲货讲解:集成电路产业中版图设计
伴随着5G通信技术的到来与越来越成熟的物联⽹(internet-of-things, IoT)技术的应⽤,⼈们对5G/IoT相关的集成电路芯⽚设计投来更加热切的⽬光。例如,数字电路的逻辑单元和存储器设计有什么不同? 5G相关的射频IP芯⽚设计有哪些? 对于IoT系统芯⽚如何实现低功耗要求? IP单元及信号接⼝单元的版图设计如何实现?
同时,云端⼤数据的数据流实时处理(real-time analytical processing)推动着⾼性能计算机芯⽚的研发;终端的存储⼀体化运算(in-memory computing,IMC)对新⼀代存储器单元设计有了更新的要求。⽆论是云端或是终端的芯⽚设计,当前与未来都要具有⼈⼯智能的机器学习功能,云端芯⽚更多地解决并提升深度学习中的“训练”(training)能⼒, 终端芯⽚则更多地解决并提升深度学习中的“推理”(inference)能⼒。
虚拟试衣技术
5G/IoT的专⽤集成电路的市场需求,云端终端的智能芯⽚发展要求,从架构到系统,包括电路设计与物理设计与版图设计项⽬,已经摆在了芯⽚设计团队的⾯前。这些设计包括了集成电路的标准设计、半定制设计和全定制设计。芯⽚制造商通常仅提供通⽤型单元库(generic library)因⽽IoT需要更多半定制、5G需要全定制的版图设计。
针对5G/IoT技术的迫切需求,本⽂介绍集成电路产业中版图设计技术的简要过程,从⽽讲述标准版图设
计、半定制设计与全定制版图设计的应⽤,并以5G/IoT场合的⾼性能和⾼速数据率相关的IP进⾏简短的讨论,版图设计质量对最终系统的影响等。⽂末强调版图设计与系统芯⽚、MCU芯⽚与模拟和混合信号设计以及与射频芯⽚设计的紧密相关和依赖性,重点说明版图设计在集成电路产业中的重要⾓⾊。
1.集成电路的版图设计⽅法
集成电路设计⽅法涉及⾯⼴,内容复杂,其中版图设计是集成电路物理实现的基础技术。版图设计的质量好坏直接会影响到集成电路的功耗、性能和⾯积。在系统芯⽚(system-on-chip, SoC)设计中,集成了接⼝单元(input/output,I/O),标准逻辑单元(standard cell),模拟与混合信号(analog mixed-signal, AMS)模块,存储器(memory,例如ROM,RAM)和多种IP模块。所有这些模块的物理实现,全都离不开基本的版图设计。
⼯程实践中,从定义系统芯⽚参数(specifications)完成后,⼈们常常将最常见的数字集成电路中标准逻辑单元的版图设计过程简化为电路设计(circuit design)、版图设计(layout design)和特征化(characterization)等三个步骤,见图1简化的版图设计流程图。在实践中,版图设计类型⼜分为: 1)标准版图设计,2)半定制版图设计,和3)全定制版图设计。
图1 集成电路版图设计的简化流程图
2.集成电路中的标准版图设计
标准版图设计通常⽤于数字集成电路的标准单元库、输⼊输出单元库等。存储器的版图设计属于半定制版图设计,它的存储单元(例如RAM cell)的版图采⽤标准单元库的设计⽅法,其余部分则为不规则的版图设计。模拟与混合信号(analog mixed-signal, AMS)的版图设计以及射频电路的版图设计则属于全定制的版图设计。
标准单元库中包括两⼤类单元:(1)组合逻辑(combinational)单元,例如反向器与⾮门、选择器等。(2)时序逻辑(sequential)单元,例如寄存器、锁存器、存储器等。
对于数字电路中的标准单元设计,是从布尔逻辑(Boolean logic)描述并定义单元的逻辑关系开始,接着是电路设计(schematic capture或circuit design)与电路仿真(circuit simulation),⽽后开始版图设计。版图设计需要符合制造⼯艺规则检查(design rule check,DRC)和版图电路⼀致性检查(layout versus schematic,LVS)通过才算完成,这时,版图设计的结果⽤“图形显⽰系统第⼆版”(graphic display system II,GDSII)⽂件记载,并作为芯⽚制造中制作掩模板(mask)的依据。数字电路的标准单元和I/O单元完成版图设计后,还要做寄⽣参数(电阻R电容C)提取(parasitic extraction,RCX),供电路设计者作进⼀步拟合优化处理,这种反标⽅法(back-annotation)也是芯⽚级设计的重要步骤之⼀。图2给出了⽐较完整的版图设计全流程图。
风暖加热器图2 集成电路版图设计的全流程图
从标准单元和I/O单元的版图设计结果,需要产⽣物理信息和时序信息供芯⽚物理设计布局布线(place & route,P&R)使⽤。物理信息以单元库交换格式(library exchange format,LEF)⽂件表达,它是在相应的GDSII⽂件的基础上,“忽
使⽤。物理信息以单元库交换格式(library exchange format,LEF)⽂件表达,它是在相应的GDSII⽂件的基础上,“忽略”底层信息,仅仅保留并提取⾦属1层(metal 1,M1)以及更上层的多边形(polygon)数据作为P&R使⽤,这样就会极⼤地加快P&R的运⾏速度,缩短时序收敛时间。例如,对于存储器版图的LEF⽂件,会使⽤到M1,M2甚⾄M3的信息。
图3 集成电路标准版图设计中标准单元具有同等⾼度与不同宽度
对于标准单元的版图,根据⼯艺要求,标准逻辑单元的⾼度是固定的,宽度为最⼩单元宽度的公约数倍数,例如在图3中,左图为反向器(inverter,INV)的版图,中图为选择器(multiplexer,MUX)的版图,右图为D型寄存器的(D-Flip Flop, DFF)的版图。如上所述,从版图设计中,可以导出并建⽴GDSII和LEF⽂件。GDSII⽂件经过设计签核(design sign-off)过程由代⼯⼚使⽤于芯⽚制造,LEF⽂件⽤于全芯⽚的P&R物理设计。
标准单元的时序信息过去曾经以时序库单元格式(timing library format,TLF)⽂件表达,⽬前以⾃由时序库单元格式(liberty,“.lib”)⽂件表达。产⽣时序库⽂件需要根据制造⼯艺调⽤SPICE模型,⽐如最常⽤的BISM4模型;根据制造⼯艺参数,进⾏库单元时序仿真,例如Hspice和Spectre仿真器。
从相应的GDSII⽂件中,根据半导体器件物理基础参数,提取单元电路的输⼊输出负载(CL),提取其静态功耗和动态功耗数据,建⽴⼀套数据库,在做功耗分析和低功耗设计时使⽤。CMOS的总功耗 Ptotal= Pstatic + Pdynamic,静态功耗Pstatic与⼯艺参数相关,⽽动态功耗Pdynamic与CL相关。因此,在做各种版图设计时,应当尽量减⼩输⼊输出端的电容,从⽽提⾼库单元速度即芯⽚的性能。
另⼀⽅⾯,对于180nm或者更加先进的⼯艺,信号完整性(signal integrity, SI)分析成为必不可少的步骤。⼈们知道,在CMOS电路的翻转过程除了受信号上升或下降时间(transition time,也称作slew rate)快慢有关之外,与其栅极的阈值(threshold voltage)极其相关。当输出输⼊电压的斜率达到1时,即|tan(Vout/Vin)|=1(该点称作统⼀增益点,Unity Gain Point,UGP),若有临近的并⾏信号线通过电容耦合(coupling capacitance)产⽣“噪声(noise)”信号与“受害者”的时钟或者数据信号迭加,就会破坏正常数据信号的传递甚或使得设计失效。
如此可见,标准单元的版图设计结果是产⽣时序单元格式⽂件的来源。由于单元延时与信号输⼊端的翻转时间
tslew(transition time)以及负载(CL)相关,因此,时序单元格式⽂件中的延时函数为f(tslew,CL),⽤三维表格表⽰,两个数据之间的中间值使⽤多项式(polynomial)简化插值⽅法产⽣,供计算时序时使⽤。另⼀⽅⾯,标准单元的功耗信息和信号完整性信息函数同样与(tslew,CL)相关,也⽤三维表格表⽰。时序单元⽂件的时序、功耗和SI等丰富信息,将⽤于全芯⽚物理设计过程中的静态时序分析(static timing analysis,STA)、功耗分析和信号完整性分析。芯⽚代⼯⼚(foundry)通常只提供通⽤型GP (general purpose) 单元库,例如TSMC从40nm及以下⼯艺才开始提供低功耗(LP)单元库和超低功耗(ULP)单元库。若采⽤65nm及以上的⼯艺,⽤户应当⾃⾏设计,并且产⽣完整的单元库⽂件GDSII, LEF 和“.lib” 等。
在版图设计中⼈们可以使⽤⼯艺设计包(process design kit, PDK),或者称作 “⼯艺设计锦囊”,这当然给版图设计带来了极⼤的便利。但是,在很多⼯程设计中,⼈们还是离不开很多基础设计步骤。例如,参数化的标准单元(parameterized cell,Pcell)可以帮助⼯程⼈员直接定义CMOS晶体管的⼤⼩并且直接调⽤,在28nm或者更先进⼯艺条件下,还需要考虑制造误差⽐如光学临近误差(optical proximity correction, OPC)等带来的影响,对版图设计进⾏校正。
3.集成电路中的半定制版图设计
在半定制版图设计中,例如具有6个晶体管的SRAM或者仅有1个晶体管1个电容的DRAM,它们的标准
⼩单元(RAM cell)⾼度和宽度尺⼨设置与上⼀节所说的标准逻辑单元⽆关,需要单独设计,见图4。这⼀类设计既要兼顾标准版图设计的通⽤性,⼜要考虑到重复使⽤单元在当前模块设计中使⽤的灵活性。早期英特尔公司的CPU芯⽚的设计就采⽤了很多半定制的版图设计,这种版图设计技巧也会⽤于⾼性能计算机芯⽚的CPU设计之中。⽐如CPU中的数据通道(datapath)部分如果使⽤标准单元,则往往成为实现⾼性能的瓶颈,⽽采⽤半定制的专门设计,才会更好地提⾼整个芯⽚的性能。
图4 半定制版图设计中重复使⽤的RAM单元具有不同⾼度与不同宽度
(从左往右: 6T-SRAM单元电路及其版图, 1T1C-DRAM单元电路及其版图)
还有⼀类特殊的半定制版图称为客户⾃有技术(Custom-Owned Tooling,COT)模块,在专⽤集成电路(application specific integrated circuit,ASIC)中经常采⽤。闪存存取器(flash memory)的基本单元(NAND和NOR单元)与上述SRAM和DRAM的基本单元类似,也是采⽤半定制版图设计。众所周知,NAND闪存已经⼴泛⽤于新型的固态存储器
述SRAM和DRAM的基本单元类似,也是采⽤半定制版图设计。众所周知,NAND闪存已经⼴泛⽤于新型的固态存储器(solid state drive, SSD)中。⽬前,数字电路基本单元常常⼯作在⼏百兆赫兹(MHz)的频率。DRAM新⼀代产品,即先进的双数据率同步动态存储器(double data rate synchronous dynamic RAM, DDR SDRAM)系列(最新版本为DDR4)和LPDDR系列(最新版本LPDDR5)数据率达到了6.4Gbps)
,可以⼴泛⽤于5G通信和汽车电⼦的芯⽚设计中。
上⾯讨论到,Pcell可以帮助⼯程⼈员直接定义CMOS晶体管的⼤⼩,可以直接调⽤或者⽅便地更换从⽽对设计不断进⾏优化。在28nm或者更先进⼯艺条件下,尤其是模拟电路对⼯艺参数根据敏感并直接影响到性能。这些问题可以通过约束控制设计(constraint-driven design, CDD)⽅法加以克服。⽐如,在进⾏差分对晶体管设计时,⼯程⼈员可以调⽤约束⽂件,对差分对电路进⾏控制配对,实现预定的性能。
与标准时序单元相⽐,存储器的时序关系⽐较复杂。通常前者主要关注“时钟(CLK_)”与“数据(DATA_)”信号之间的建⽴(setup)时间和(hold)时间; 后者还要额外处理“地址(ADD_)”、“控制(CONTR_)”、“读(RE_)” 、“写(WR_)” 、“使能(EN_)”等信号关系。膏药制作
熟练地掌握了标准单元版图设计之后,对于半定制版图设计⽅能驾轻就熟,举⼀反三,并借助CDD⽅法,很好地处理设计规则并符合⼯艺制造的要求。⼀般说来,数字电路的标准单元或者其他电路设计由前端(front-end)⼯程师完成;版图设计则由后端(back-end)⼯程师完成。在模拟和混合信号模块或者芯⽚设计中,电路设计与版图设计溶为⼀体,才能达到更好的性能要求。中空玻璃全自动打胶机
4.集成电路中的全定制版图设计
在模拟和混合信号芯⽚设计中,更多地采⽤了全定制版图设计⽅法;尤其是射频电路的芯⽚设计,基本上必须通过全定制版图设计来实现,这样才能有效地达到电路的设计⽬标,⽐如,信号耦合与匹配,有源区器件和⽆源区器件的实现,⾼频参数电感和⾃感参数的控制和优化等。
模拟和混合信号芯⽚设计包括常见的模拟前端控制器(analog front-end, AFE)、模数转换器(analog-digital converter, ADC)、数模转换器(digital-analog converter, DAC)、运算放⼤器(op-amp)和⽐较器(comparator)等。
5G通信采⽤的频段规范称作“5G新空⼝”(5G New Radio,5G NR),使⽤6 GHz以下频率以及毫⽶波波段,见图5。数据率为10~20Gbps
图5 5G NR频率和5G NR毫⽶波频率范围
射频⽆线通信技术包括蜂鸟(ZigBee,IEEE 802.15.4),⽆线(WiFi,2.4GHz/5GHz,IEEE 802.11),蓝⽛(最新版本Bluetooth 5.0, 2.4~2.483.5GHz)和蓝⽛低功耗(Bluetooth Low Energy,BLE, SIG/IEEE 802.15.1)和全球互通微波访问(WiMax, 3.5~5.8GHz, IEEE 802.16d; 2.3,2.5,3.5GHz,IEEE 802.16e)等5种标准。与这些通信技术相关的射频芯⽚设计包括IoT常⽤的接⼝,例如串并联接⼝(Serial Parallel Interface,SPI)模块,射频功率放⼤器(RF PA),低噪声放⼤器(low noise amplifier,LNA),压控振荡器(voltage-controlled oscillator, VCO),混频器(frequency mixer),滤波器(fi
lter)等。
射频⽆线模块或者独⽴的射频芯⽚,从电路设计到版图设计,完全属于全定制设计⽅式。设计⼈员在标准版图设计和半定制版图设计的基础上,不断开发出专⽤的芯⽚射频产品。图6为某射频公司⾃⾏设计的5GHz通信产品全定制版图案例,即版图为全⼿⼯设计,芯⽚制造采⽤180nm射频⼯艺,其数据率达到5Gbps。
图6 某射频公司5Gbps通信产品全定制(⼿⼯)版图设计案例
段远程
蓝⽛芯⽚产品开发相对⽐较困难,射频的性能与功耗是衡量蓝⽛芯⽚的重要指标,包括数据传输速率、信号延时与稳定性等都是芯⽚开发与研究的挑战。包括采⽤40nm的CMOS蓝⽛芯⽚的亚阈值建模与电路仿真,版图后仿真与优化等。⽬前,不少射频应⽤芯⽚已经向40nm以下的先进⼯艺开发,这时,设计⼈员需要器件建模(例如BSIM6仿真模型)和全定制版图设计双管齐下。
熟练地掌握了标准单元版图设计和半定制版图设计之后,对于全定制版图设计⽅能驾轻就熟,运⽤⾃如,以CDD⽅法加以辅助,则更好地处理模拟混合信号和射频设计规则的特殊要求,例如电感和互感对版图的影响,及其⼯艺制造后的实测结果。
5.⾼性能与⾼速芯⽚设计与版图设计
摄像机标定
5.⾼性能与⾼速芯⽚设计与版图设计
物联⽹和5G联⽹通信中除了信号收发单元(transceiver, TRX 以及TX/RX)设计芯⽚之外,离不开专⽤的系统芯⽚SoC,其中⾼性能与⾼速核⼼IP往往决定了SoC的性能和速度。常见的⾼性能与⾼速核⼼IP有: PCIe, 10 Gigabit Ethernet (10 GbE), RapidIO, SerDes, USB等。表1列出了⼏种物联⽹和5G时代常⽤的⾼性能与⾼速IP的信号速度和数据率。
第1代PCIe总线技术最早于2003年提出,它源⾃英特尔公司的第3代输⼊输出3GIO技术。2017年PCIe第4代提出,2019年将开发PCIe第5代。英伟达公司注重机器学习中数据处理GPU芯⽚的开发,⽬前采⽤PCIe第2代产品,已经实现了16Gbps 数据率。
⾼速IP接⼝吉⽐特以太⽹10GbE (IEEE 820.3ae-2002)采⽤全⼯协议(full-duplex protocol),⽤来处理以太⽹的⾼数据率数据,⼴泛⽤于需要⾼带宽的企业服务器和数据中⼼等, 表1列出了10GbE (连接MAC层和PHY层的)的两种接⼝参数,即4通道XAUI模式和单通道XFI/KR模式。
RapidIO⽤来作为与处理器之间的信号互联,⼤量⽤在数据中⼼和⾼性能计算机嵌⼊式芯⽚设计中,也⽤于异构系统(heterogeneous system architecture, HSA)芯⽚中,包括⼈⼯智能芯⽚采⽤的CPU,DSP,GPU等设计。
表1 物联⽹和5G常⽤IP模块的信号速度和数据率⽐较
由上表可见,使⽤⾼速IP时离不开相关IP设计的控制器(Controller)模块和物理层(PHY)模块的设计,它们必须满⾜
3~10GHz信号频率要求,相应的数据率10~40Gbps对于芯⽚的版图设计充满了技术挑战。
6.当代及未来5G/IoT应⽤对版图设计技术影响
针对⾼端数字芯⽚的性能要求,设计公司为了满⾜需求,会专门投⼊研发⼈员,重新对标准单元库进⾏电路优化和版图设计,如第2⼩节中“标准版图设计”所说,还要重新产⽣⼀套单元库⽂件,包括GDSII, LEF和 “.lib”等。对于半定制设计版图,⽐如COT模块等,除了注意边界处的⾛线,也要产⽣“灰盒⼦”时序⽂件。IoT相关芯⽚以MCU为主的SoC, 其中若⼲种IP模块和⽆线模块包括NB-IoT, LoRa, 蓝⽛等, 给半定制和全定制版图设计带来新的⽣命。5G时代的应⽤场景有三种不同的⽆线接⼊模式,包括以消费类为主的增强移动宽带(enhanced Mobile Broadband, eMBB)技术,以智慧机器⼈和⽆⼈驾驶等为主的超⾼可靠超低时延通信(Ultra-reliable low latency communication, URLLC)和⼤规模机器通信(massive Machine‐Type Communication, mMTC)。这些多样化的应⽤场景会对射频电路芯⽚电路与版图设计带来新的技术挑战和产品需求。
因此, 在5G 和IoT时代, ⽆论是标准单元版图设计,半定制版图设计和全定制版图设计,基本的设计⽅法得到发扬传承,⾼性能、低功耗、低成本的要求提得更⾼,对未来⾼质量⾼可靠性版图设计设⽴了新
的规范和起点。例如,⽤于深度学习的芯⽚设计,由于涉及到海量数据计算,已经使⽤算⼒单位千兆(1012, tera operations per second, TOPS)为参考,使⽤能效⽐(TOPS/W)作为衡量芯⽚架构和设计的总体检测指标。最新的2019年国际固体电路会议(International Solid-State Circuits Conference, ISSCC)分组⽂章都做了详尽的讨论。
参考⽂献
[1] 王阳元主编,《集成电路产业全书》,2018年,北京:电⼦⼯业出版社(ISBN 978-7-121-34822-8)。
[2] 陈春章,集成电路设计⽅法中EDA的⾓⾊,《微纳创新》,2018年夏,总第08期,第34~39页。
[3] 陈春章,王国雄,艾霞,《数字集成电路物理设计》,2008年,北京:科学出版社(ISBN 978-7-03-022031-8)。
-END-

本文发布于:2024-09-25 10:25:52,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/176152.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   版图   单元
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议