Modelsim详细使用教程

Modelsim详细使用教程
一、打开Medelsim
双击桌面快捷方式,出现下图所示界面,如果上一次使用ModelSim建立过工程
这时候会自动打开上一次所建立的工程;
二、建立工作库
点击File->New->Library,输入Library Name,点击OK,就能看见新建的库。
患者腕带三、建立工程
点击File->New->Project,输入Project Name,在Project Location 中输入工程保存的路径,建议在Library所在文件夹中。在Default Library Name 中为我们的设计编译到哪一个库中。点击OK会出现下图所示的界面。
四、为工程添加文件
Create New File 为工程添加新建的文件;Add Existing File为工程添加已经存在的文件;Create Simulat
封装外壳ion为工程添加仿真;Create New Folder为工程添加新的目录。
这里我们点击Create New File,来写仿真代码。输入File Name,再输入文件类型为Verilog (默认为VHDL,Modelsim也可以仿真System Verilog代码),Top Level表示文件在刚才所设定的工程路径下。点击OK,并点击Close关闭Add items to the Project窗口。这时候在Workspace窗口中出现了Project选项卡,里面有8_11.v,其状态栏有一个问号,表示未编译,双击该文件,这时候出现8_11.v的编辑窗口,可以输入我们的Verilog代码。活动看台
五、编写Verilog代码
测量电池内阻写完代码后,不能马上就编译,要先File->Save保存,否则,编译无效。然后选择Compile->Compile All。Transcript脚本窗口出现一行绿字体Compile of 8_11.v was successful. 说明文件编译成功,并且该文件的状态栏显示绿的对号。
六、写测试程序
测试程序通过给出模块的输入信号,观察模块的内部信号合输出信号来检测模块的设计是否正确。描述测试信号的变化和测试过程的模块称为测试平台(testbench)或测试装置(testfixture)。鼠标右击被测试模块.v文件,选择Add to Project -> New File。在新框中输入测试程序名(可以取名为:被测文件名_t)。双击再编写测试代码,编译成功后再仿真。
锅炉吹管
七、仿真
在屏幕左下角的位置有一个library和project 的切换窗口,点击library,再点击work库前的“+”号,将其展开,会看到Verilog文件,文件名是代码的module名。右击测试文件(compare_t),选择Simulate,出现Objects框。如果选择源程序仿真,则看不到波形图。
按住Ctrl 键选中所有信号,右键选择Add->To Wave->Selected Signals,这样,看波形的窗口就会出现。将红圈圈中的仿真时间步改成5ms,然后按旁边的运行按键,波形就出现了。按住ctrl滚动鼠标滑轮可以缩放波形。这时候点击在当前波形窗口中显示所有波形。
改变输出信号进制,Wave框右键点击Radix选择进制。
若需要观察模块中的中间变量的波形,首先在instance中点击测试程序(writing)引用的模块名(m),展开该模块中所有的信号,internal表示中间信号,右键选择要观察的信号,点击Add Wave,就把它加入到信号列表中,此时wave显示的是no data。
然后点击simulate ->restart ->ok,再simulate -> run -> run all,即可得到新的仿真波形。
在主窗口中点击Simulate->End Simulation退出仿真。
双极化高频头1怎么打开之前的工程?
File -> Open,在弹出的窗口中,文件类型选.mpf 。然后路径指到工程所在文件夹,选择建立的.mpf文件即可。
菜单栏恢复原样:右键,选择reset

本文发布于:2024-09-21 23:38:10,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/150513.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:信号   工程   模块   文件
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议