QUARTUS__LPM模块功能介绍中文版

QUARTUS8.0LPM模块功能介绍中文版(lwg9982004's Blog转载)
QUARTUS8.0LPM模块功能介绍中文版(lwg9982004's Blog转载)
本人英语很好很多地方都翻译的不太专业,现在贴出来请高手修改下,同时也方便我们这些初学者。
竹纤维加工
Megafunctions/LPM
The Quartus II software offers a variety of megafunctions, including the library of parameterized modules(LPM) functions and other parameterized functions. Megafunctions are listed below by function.Functions indicated by an asterisk(*)are provided for backward compatibility only.
Arithmetic MegaWizards and Megafunctions: Arithmetic components include accumulators,adders, multipliers,and LPM arithmetic functions.
MegaWizard
Megafunction(s)
Comments
ALTACCUMULATE
altaccumulate
裂痕字体Parameterized accumulator megafunction.
参数化的寄存器模块
ALTECC
altecc_decoder
Error correction code(ECC)megafunction.
数据代码纠正模块
altecc_encoder
Error correction code(ECC)megafunction.
数据代码纠正模块
ALTFP_ADD_SUB
altfp_add_sub
Floating-point adder/subtractor megafunction.
浮点、加法器/减法器模块
ALTFP_COMPARE
altfp_compare
Parameterized floating-point comparator megafunction.参数化的浮点比较器模块
ALTFP_CONVERT
液体测量2黑暗中文版
altfp_convert
Parameterized floating-point conversion megafunction.参数化的浮点转换器模块
ALTFP_DIV
altfp_div
Parameterized floating-point divider megafunction.
参数化的浮点分配器模块
沥青电加热器
一次性浴缸套ALTFP_MULT
altfp_mult
Parameterized floating-point multiplier megafunction.参数化的浮点乘法器模块
ALTFP_SQRT
altfp_sqrt
Parameterized floating-point square root megafunction.参数化的浮点平方根模块
ALTMEMMULT
altmemmult
Parameterized memory multiplier megafunction.
数化的记忆乘法器模块
ALTMULT_ACCUM(MAC)
监控摄像机支架
altmult_accum
Parameterized multiply-accumulate megafunction.参数化的乘-累积模块
ALTMULT_ADD
altmult_add
Parameterized multiplier/adder megafunction.
参数化的乘法器/加法器模块
ALMULT_COMPLEX
altmult_complex
Parameterized complex multiplier megafunction.参数化的综合乘法器模块
ALTSQRT
altsqrt
Parameterized integer square root megafunction.参数化的整数平方根模块
LPM_ABS
lpm_abs
Parameterized absolute value megafunction.参数化的绝对值模块
LPM_ADD_SUB
lpm_add_sub
Parameterized adder/subtractor megafunction.参数化的加法器/减法器模块
LPM_COMPARE
lpm_compare
Parameterized comparator megafunction.
参数化的比较器模块
LPM_COUNTER
lpm_counter
Parameterized counter megafunction.
参数化的计数器模块
LPM_DIVIDE
lpm_divide
Parameterized divider megafunction.
参数化的分配器模块
divide*
Parameterized divider megafunction.
参数化的分配器模块
Use the divide megafunction with mature device families. For newer device families,use the lpm_divide megafunction.
LPM_MULT
lpm_mult
Parameterized multiplier megafunction.
参数化的乘法器模块
altsquare
Parameterized squarer megafunction.
参数化的squarer模块
PARALLEL_ADD
parallel_add

本文发布于:2024-09-23 16:17:25,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/3/127223.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:模块   参数   乘法器   浮点   贴出来   翻译   专业
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议