形成互连结构的方法和互连结构与流程



1.本发明的实施例涉及形成互连结构的方法和互连结构。


背景技术:



2.诸如晶体管的集成电路器件形成在半导体晶圆上。通过金属线和通孔将器件互连以形成功能电路,其中在后段制程工艺中形成金属线和通孔。为了降低金属线和通孔的寄生电容,在低k介电层中形成金属线和通孔,低k介电层通常具有低于3.8、低于3.0或低于2.5的k值。
3.位于低k介电层中的金属线和通孔的形成中,蚀刻低k介电层以形成沟槽和通孔开口。低k介电层的蚀刻可以包含在低k介电材料上方形成图案化的硬掩模,以及使用图案化的硬掩模作为蚀刻掩模来形成沟槽。还在沟槽的下面形成通孔开口。然后用可以包括铜的金属材料填充沟槽和通孔开口。然后执行化学机械抛光(cmp)工艺以去除位于低k介电层上方的金属材料的多余部分。


技术实现要素:



4.本发明的一些实施例提供了一种形成互连结构的方法,包括:在衬底上方沉积介电层;蚀刻介电层以形成开口,其中,介电层下面的第一导电部件暴露于开口,其中,使用其中包括氮的前体形成介电层;沉积延伸到开口中的牺牲间隔件层;图案化牺牲间隔件层,其中,去除位于开口的底部处的牺牲间隔件层的底部部分以露出第一导电部件,以及留下位于开口中和介电层的侧壁上的牺牲间隔件层的第一垂直部分以形成第一环;在开口中形成第二导电部件,其中,第二导电部件由第一环环绕,并且第二导电部件位于所述第一导电部件上方并且电耦合至所述第一导电部件;以及去除所述第一环的至少部分以形成空气间隔件。
5.本发明的另一些实施例提供了一种互连结构,包括:衬底;第一导电部件,位于所述衬底上方;第一蚀刻停止层,位于所述第一导电部件上方;介电层,位于所述第一蚀刻停止层上方,其中,所述介电层包括在其中的氮,其中,所述介电层包括高k介电材料;第二导电部件,位于所述介电层和所述第一蚀刻停止层中,其中,所述第二导电部件位于所述第一导电部件上方并且接触所述第一导电部件;空气间隔件,环绕所述第二导电部件,其中,所述第二导电部件的侧壁暴露于所述空气间隔件;以及第二蚀刻停止层,位于所述介电层上方并且接触所述介电层,其中,所述第二蚀刻停止层还位于所述第二导电部件上方。
6.本发明的又一些实施例提供了一种互连结构,包括:第一导电部件;第二导电部件,位于所述第一导电部件上方并且电耦合至所述第一导电部件,其中,所述第二导电部件包括:扩散阻挡件;以及金属材料,位于由所述扩散阻挡件形成的凹槽中;空气间隔件,环绕所述第二导电部件的顶部部分;以及介电层,环绕所述空气间隔件,其中,所述介电层包括包含氮的高k介电材料。
7.本发明的又一些实施例提供了形成具有高抗倾斜的介电膜。
附图说明
8.当结合附图进行阅读时,从以下详细描述可最佳理解本发明的方面。需要强调的是,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
9.图1至图8、图9a、图9b、图10至图14和图15a示出了根据一些实施例的形成包括空气间隔件的互连结构的中间阶段的截面图。
10.图15b示出了根据一些实施例的没有由任何空气间隔件围绕的双镶嵌结构的截面图。
11.图15c示出了根据一些实施例的空气间隔件的俯视图。
12.图16示出了根据一些实施例的用于形成介电层的一些示例性前体。
13.图17和图18示出了根据一些实施例的氮原子百分比值的一些示例性数据图表。
14.图19至图22示出了根据一些实施例的形成互连结构的中间阶段的截面图。
15.图23示出了根据一些实施例的包括si-c-si键的前体。
16.图24示出了根据一些实施例的一些不含si-c-si键的前体。
17.图25示出了根据一些实施例的晶圆中的层的示意图。
18.图26和图27示出了根据一些实施例的一些介电层中的碳浓度。
19.图28示出了根据一些实施例的用于形成导电部件和空气间隔件的工艺流程。
20.图29示出了根据一些实施例的用于形成导电部件的工艺流程。
具体实施方式
21.以下公开内容提供了许多用于实现本发明的不同部件的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括可以在第一部件和第二部件之间形成的额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
22.而且,为便于描述,在此可以使用诸如“在

之下”、“在

下面”、“下部”、“在

之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
23.提供了互连结构及其形成方法。根据本发明的一些实施例,互连结构包括具有提高的机械强度的介电层,可以通过在介电层中添加氮来形成该介电层。在介电层中形成导电部件,并且围绕导电部件形成空气间隔件。由于介电层具有提高的机械强度,降低了由空气间隔件围绕的介电层的部分的倾斜和塌陷。根据本发明的可选的实施例,与常规结构相比,互连结构包括包含增加的si-c-si键的介电层。因此,降低饿了来自介电层的碳消耗。本文讨论的实施例是为了提供实例以确保实现或使用本发明的主题,并且本领域普通技术人员将容易理解在保持不同实施例的预期范围内的同时可以进行的修改。在各个视图和示出
的实施例中,类似的参考标号用于表示类似的元件。尽管可以将方法实施例讨论为以特定顺序的执行,但是其他方法实施例可以以任何逻辑顺序执行。
24.图1至图8、图9a、图9b、图10至图14和图15a示出了根据本发明的一些实施例的形成导电部件和空气间隔件的中间阶段的截面图。相应的工艺也示意性地反映在图28所示的工艺流程中。
25.图1示出了封装组件20的截面图。根据本发明的一些实施例,封装组件20是包括有源器件和可能的无源器件的器件晶圆,它们由示出的集成电路器件26表示。器件晶圆20可以包括在其中的多个管芯22,其中示出了管芯22的一个管芯。根据本发明的可选实施例,封装组件20是可以包括或者可以不包括有源器件和/或无源器件的中介层晶圆。在后续的讨论中,将器件晶圆作为封装组件20的实例进行讨论。本发明的实施例还可以应用于诸如中介层晶圆、封装衬底、封装件等的其他类型的封装组件。
26.根据本发明的一些实施例,晶圆20包括半导体衬底24和形成在半导体衬底24的顶表面处的部件。半导体衬底24可以由结晶硅、结晶锗、硅锗或诸如gaasp、alinas、algaas、gainas、gainp、gainasp等的iii-v族化合物半导体形成。半导体衬底24也可以是块状半导体衬底或者绝缘体上半导体(soi)衬底。可以在半导体衬底24中形成浅沟槽隔离(sti)区域(未示出)以隔离半导体衬底24中的有源区域。尽管未示出,可以(或者可以不)形成贯通孔以延伸到半导体衬底24中,其中该贯通孔用于将晶圆20的相对侧上的部件相互电耦合。
27.根据本发明的一些实施例,在半导体衬底24的顶表面处形成集成电路器件26。根据一些实施例,集成电路器件26可以包括互补金属氧化物半导体(cmos)晶体管、电阻器、电容器、二极管等。集成电路器件26的细节未在图1中示出。图25示出了根据一些实施例的示例性晶体管的示意图,晶体管包括形成在半导体衬底24的顶表面处的源极/漏极区112和栅极堆叠件110。根据可选的实施例,晶圆20用于形成中介层,并且衬底24可以是半导体衬底或者介电衬底。
28.在半导体衬底24上方形成层间电介质(ild)28,并且层间电介质(ild)28填充集成电路器件26中的晶体管(未示出)的栅极堆叠件之间的间隔。根据一些实施例,ild 28由磷硅酸盐玻璃(psg)、硼硅酸盐玻璃(bsg)、硼掺杂的磷硅酸盐玻璃(bpsg)、氟掺杂的硅酸盐玻璃(fsg)、氧化硅等形成或者ild 28包括磷硅酸盐玻璃(psg)、硼硅酸盐玻璃(bsg)、硼掺杂的磷硅酸盐玻璃(bpsg)、氟掺杂的硅酸盐玻璃(fsg)、氧化硅等。可以使用旋涂、可流动化学气相沉积(fcvd)等来形成ild 28。根据本发明的一些实施例,使用诸如等离子体增强化学气相沉积(pecvd)、低压化学气相沉积(lpcvd)等的沉积工艺来形成ild 28。
29.在ild 28中形成接触插塞30,并且将接触插塞30用于将集成电路器件26电连接至上面的金属线和通孔。根据本发明的一些实施例,接触插塞30由选自钨、铝、铜、钛、钽、氮化钛、氮化钽、它们的合金和/或它们的多层的导电材料形成。接触插塞30的形成可以包括在ild 28中形成接触开口,将一种(多种)导电材料填充到接触开口中,以及执行诸如化学机械抛光(cmp)工艺或机械研磨工艺的平坦化工艺以使接触插塞30的顶表面和ild 28的顶表面齐平。
30.参考图2,在ild 28和接触插塞30上方形成蚀刻停止层32。将相应的工艺示出为如图28所示的工艺流程200中的工艺202。根据一些实施例,蚀刻停止层32与ild 28和接触插塞30的顶表面接触。根据可选的实施例,存在位于ild 28和蚀刻停止层32之间的一个或多
个层以及对应的部件。例如,在ild 28和蚀刻停止层32之间可以存在额外的一个(多个)蚀刻停止层、额外的ild、低k介电层等。因此,在介电层中可以存在接触插塞、通孔、金属线等。
31.蚀刻停止层32可以包括氮化硅(sin)、碳化硅(sic)、氧氮化硅(sion)、氧碳化硅(sioc)、碳氮化硅(sicn)等。蚀刻停止层32还可以包括金属氧化物、金属氮化物等。蚀刻停止层32可以是由均质材料形成的单层,或者是包括由不同材料形成的多个介电子层的复合层。根据本发明的一些实施例,蚀刻停止层32包括氮化铝(aln)层、位于氮化铝层上方的氧碳化硅层和位于氧碳化硅层上方的氧化铝层。
32.进一步参考图2,在蚀刻停止层32上方沉积介电层34。将相应的工艺示出为如图28所示的工艺流程200中的工艺204。根据一些实施例,介电层34也是ild层。根据可选的实施例,介电层34是用于形成金属线的金属间介电(imd)层。
33.根据一些实施例,将介电层34形成为具有优异的硬度,例如大于约10gpa,并且可以在约15gpa至约35gpa之间的范围内,可以通过使用纳米压痕仪测量该硬度值。如将在后续的段落中讨论的,形成具有高硬度值的介电层34可以改善互连结构的可靠性,并且降低形成在介电层34中的部件的rc延迟(通过结合宽的空气间隔件)。介电层34的介电常数(k值)可以在约3.0至约4.5之间的范围内。因此,介电层34可以是低k介电层或高k介电层,或者介电层34的k值可以等于氧化硅的k值,氧化硅的k值是高k和低k的划分值。
34.根据一些实施例,为了增加介电层34的硬度值,介电层34由诸如氮掺杂的氧化硅(sion)或氮掺杂的碳化硅(sicn)的氮掺杂的电介质形成或者包括诸如氮掺杂的氧化硅(sion)或氮掺杂的碳化硅(sicn)的氮掺杂的电介质。在介电层34中添加氮引起其硬度值的增加,从而使得可以改善可靠性和rc延迟性能。另一方面,由于氮的添加,介电层34的k值不利地增加。然而,可以通过形成宽的空气间隔件来补偿k值的增加。
35.根据一些实施例,可以使用等离子体增强化学气相沉积(pecvd)、次大气压化学气相沉积(sacvd)、原子层沉积(ald)等来执行介电层34的形成。前体可以包括其中不含氮的第一前体和包括氮的第二前体。第一前体为介电层34提供主元素和主结构。通过第二前体掺杂氮具有添加氮的有利特征,并且由此提高了硬度,而没有导致k值的显著增加。这是因为通过第二前体来添加氮,氮与主材料中的元素的键较少。
36.图16中列出了第一前体的一些实例。根据一些实施例,第一前体可以包括一个(多个)si原子,以及除了一个(多个)硅原子之外的碳原子和氢原子。碳原子和氢原子可以以一种或多种表示为r或“or”(氧(“o”)与官能团r键合)的官能团的形式。官能团r可以选自ch3、c2h5等。包括氮的第二前体可以包括nh3、n2、n2o等,或它们的组合。除了含氮前体之外,第二前体还可以包含co2。根据一些实施例,形成工艺包含pecvd,其中前体的流速可以在约30sccm至约1500sccm之间的范围内,和/或在约200mgm至约2000mgm之间的范围内。沉积温度可以在约200℃至约450℃之间的范围内。沉积速率可以在约至约之间的范围内。在生成的介电层34中,氮原子百分比可以在约0.5%至约12%之间的范围内,这可以使用x射线光电子能谱(xps)来测量。
37.根据一些实施例,为了提高介电层34的硬度,在介电层34的沉积中,不添加致孔剂,并且因此,生成的介电层34不包括孔。此外,介电层34的剥离部分的倾斜和塌陷发生在狭窄并且很高的介电条上(如图9a所示)。为了使k值最小化同时仍保持介电层34的机械强度,介电层34的第一部分(诸如上部部分34b,例如上半部或3/4上部)可以具有比第二部分
(诸如下部部分34a,例如下半部分或1/4下部)增加的氮原子百分比。这可以通过在沉积第二部分时增加第二前体(含氮)的流速来实现。通过增加介电层34的部分而不是全部的氮原子百分比,窄条不太可能倾斜或塌陷,同时整体rc延迟增加得较少。
38.相反,第一部分(具有增加的氮原子百分比)可以是下部部分,而第二部分可以是上部部分。根据可选是实施例,第一部分是中部部分,而第二部分包括顶部部分和底部部分。
39.此外,假设第一部分是底部部分,根据一些实施例,从介电层34的沉积的特定时刻处开始,含氮前体的流速可以连续地增加或者分阶段地增加。该特定时刻可以是介电层34的沉积的开始,或者可以是中间时刻(诸如在已经沉积了介电层34的1/4或一半之后)。在该特定时刻之前,含氮前体的流速可以是恒定的。此外,为了增加上部部分的氮原子百分比,代替增加含氮前体的流速,可以减少第一前体(不含氮)。
40.根据可选的实施例,在可以具有均匀或者不均匀的氮原子百分比的介电层34的沉积之后,可以将氮原子注入到介电层34中。注入的物质还可以包括nh3、n2、n2o等,或它们的组合。注入的氮原子具有结合至诸如硅或碳的原子的较小的可能性,并且由此提高了介电层34的硬度,而没有显著地增加它的k值。可以通过调整注入能量来实现是否将氮注入到介电层34的顶部部分、中部部分或底部部分。
41.然后在介电层34上形成焊盘层36和硬掩模38。将相应的工艺示出为如图28所示的工艺流程200中的工艺206。焊盘层36可以是由氧化硅形成的薄膜或者焊盘层36是包括氧化硅的薄膜。根据本发明的一些实施例,使用正硅酸四乙酯(teos)作为前体形成焊盘层36,并且沉积工艺可以包括pecvd、cvd等。焊盘层36充当介电层34和硬掩模38之间的粘附层。焊盘层36还可以充当蚀刻硬掩模38的蚀刻停止层。根据本发明的一些实施例,硬掩模38由氮化硅形成,例如,使用低压化学气相沉积(lpcvd)。根据本发明的其他实施例,硬掩模38由钨掺杂的碳化物(wdc)、氮化钛、氮化硼等形成或者硬掩模38包括钨掺杂的碳化物(wdc)、氮化钛、氮化硼等,可以通过例如pecvd形成。将硬掩模38在后续的光刻工艺期间用作硬掩模。
42.进一步参考图2,在硬掩模38上形成光刻胶40,然后图案化光刻胶40,在光刻胶40中形成开口42。在后续的工艺中,将光刻胶40用于蚀刻硬掩模层36。将相应的工艺示出为如图28所示的工艺流程200中的工艺208。焊盘层36可以为蚀刻工艺充当蚀刻停止层。因此,暴露焊盘层36。在蚀刻工艺之后,例如在灰化工艺中去除光刻胶40。
43.接下来,参考图3,使用硬掩模38作为蚀刻掩模来蚀刻焊盘层36和介电层34,并且开口42延伸到介电层34中。将相应的工艺示出为如图28所示的工艺流程200中的工艺210。可以通过使用nf3和nh3气体的混合物、hf和nh3气体的混合物等的干蚀刻工艺来蚀刻焊盘层36。可选地,可以通过使用例如hf溶液的湿蚀刻工艺来蚀刻焊盘层36。根据本发明的一些实施例,使用包括氟和碳的工艺气体来执行介电层34的蚀刻,其中,氟用于蚀刻,碳具有保护介电层34的侧壁的效果,该侧壁面向通孔开口和沟槽。例如,用于蚀刻的工艺气体包括诸如c4f8、ch2f2、ch4、ch3f和/或cf4的含氟和碳的一种(多种)气体,以及诸如ar、n2等的载气。蚀刻是各向异性的。
44.介电层34的蚀刻停止在蚀刻停止层32上。接下来,蚀刻穿过蚀刻停止层32,并且开口42进一步穿透蚀刻停止层32。将相应的工艺示出为如图28所示的工艺流程200中的工艺212。根据蚀刻停止层32的材料和层来选择蚀刻化学剂。例如,当蚀刻停止层32包括氧化铝、
碳氧化硅、氮化铝等时,可以使用诸如bcl3、cl2、cf4、chf3等的蚀刻气体,并且可以添加氧(o2)。在介电层34的蚀刻之后,露出下面的导电部件(诸如当蚀刻停止层32直接在接触插塞30上方时的接触插塞30)。
45.图4示出了牺牲间隔件层44的沉积。将相应的工艺示出为如图28所示的工艺流程200中的工艺214。根据一些实施例,牺牲间隔件层44由诸如si的半导体或者由可以是氧化物(诸如氧化钛(tiox)或氧化铝(alox))、氮化物(诸如氮化硅)等的介电材料形成,或者牺牲间隔件层44包括诸如si的半导体或者可以是氧化物(诸如氧化钛(tiox)或氧化铝(alox))、氮化物(诸如氮化硅)等的介电材料。由于介电层34的较大的硬度值,牺牲间隔件层44的厚度t1能够增加。根据一些实施例,厚度t1大于约并且可以在约至约之间的范围内。随着将氮添加到介电层34中,介电层34更坚固,并且厚度t1可以大于约可以通过诸如cvd、ald、pecvd、物理气相沉积(pvd)等的共形沉积工艺来执行该沉积。
46.还应理解,厚度tl与介电层34的位置有关。例如,当介电层34为诸如用于金属化层m0、m1等的层的较低imd层时,厚度t1可以较小,而当介电层34为诸如用于金属化层m8、m9或更高层的层的较高imd层时,厚度t1可以较大。
47.图5示出了用于图案化牺牲间隔件层44的各向异性蚀刻工艺43。将相应的工艺示出为如图28所示的工艺流程200中的工艺216。根据一些实施例,通过干蚀刻工艺执行蚀刻,其中取决于牺牲间隔件层44的材料,蚀刻气体可以包括cl2、cf4、chf3、ch4、hbr、o2等。作为各向异性蚀刻工艺的结果,去除了牺牲间隔件层44的水平部分。此外,在开口42的底部处,暴露接触插塞30。牺牲间隔件层44的垂直部分留在开口42中,并且位于蚀刻停止层32、介电层34、焊盘层36和硬掩模38的侧壁上。
48.图6至图8示出了导电部件50(图8)的形成。参考图6,形成阻挡层46。将相应的工艺示出为如图28所示的工艺流程200中的工艺218。根据一些实施例,阻挡层46由钛、氮化钛、钽、氮化钽等形成或者阻挡层46包括钛、氮化钛、钽、氮化钽等。还可以在阻挡层46上方形成金属晶种层(未示出)。晶种层可以包括掺杂有mn的铜,例如,mn的原子百分比在约0.1%至约2%之间的范围内。可以将阻挡层46和晶种层形成为可以使用pvd、cvd、ald等形成的共形层。金属晶种层可以由铜形成或者金属晶种层可以包括铜,并且可以使用例如pvd形成金属晶种层。阻挡厚度和金属晶种厚度可以在约至约之间的范围内。
49.图7示出了导电材料48的沉积。将相应的工艺示出为如图28所示的工艺流程200中的工艺220。根据一些实施例,导电材料48包括铜或铜合金、钴、钨、铝等,或它们的组合。沉积工艺可以包括电化学镀(ecp)、化学镀、cvd、pvd、ald等。导电材料48完全填充开口42。
50.接下来,执行诸如化学机械抛光(cmp)工艺或机械研磨工艺的平坦化工艺,以去除导电材料48和阻挡层46的多余部分。将相应的工艺示出为如图28所示的工艺流程200中的工艺222。平坦化工艺可以停止在介电层34的顶表面上,或停止在焊盘层36的顶表面上。还可以执行平坦化工艺以去除介电层34的顶部部分。生成的结构如图8所示。在整个描述中,将导电材料48和阻挡层46的剩余部分统称为导电部件50,导电部件50可以是金属线、金属通孔、接触插塞等。间隔件环44围绕对应的导电部件50。
51.图9a示出了牺牲间隔件层44的去除以形成空气间隔件52。将相应的工艺示出为如
图28所示的工艺流程200中的工艺224。由于牺牲间隔件层44的一致性,空气间隔件52具有基本均匀的厚度(横向尺寸),例如,空气间隔件的绝大部分的厚度具有小于约20%的变化。根据一些实施例,使用可以包括干蚀刻工艺和/或湿蚀刻工艺的各向同性蚀刻工艺来蚀刻牺牲间隔件层44。例如,当执行干蚀刻工艺时,取决于牺牲间隔件层44的材料,蚀刻气体可以包括hf、nf3、o2、h2、nh3、cl2、cf4、chf3、ch4、hbr等,或它们的组合。当执行湿蚀刻工艺时,蚀刻化学剂可以包括hf溶液、氨水(nh4oh)等。还可以使用与干蚀刻工艺相结合的湿蚀刻工艺来执行该蚀刻。
52.根据一些实施例,完全去除牺牲间隔件层44,并且空气间隔件52延伸到下面的介电层(诸如ild 28,取决于介电层34的位置)的顶表面。工艺变化和空气间隔件52的高纵横比可以引起牺牲间隔件层44被部分地去除也是可能的。例如,牺牲间隔件层44的底部部分可以在去除工艺之后保持未去除,并且虚线44t代表牺牲间隔件层44的残余物的顶表面。牺牲间隔件层44的残余部分可以形成环绕导电部件50的完整环。同样由于工艺变化和去除中的不均匀性,围绕一些导电部件50的牺牲间隔件层44可以被完全去除,而围绕一些其他导电部件50的牺牲间隔件层44可以具有留下的残余物。此外,可以有对应的间隔件层44的一些部分被完全去除,并且下面的ild 28被暴露,而围绕相同的导电部件50的相同的间隔件层44的一些其他部分被留下作为残留的牺牲间隔件层。
53.图9a中示意性地示出了一个实例,其示出了残留牺牲间隔件层44存在于最右侧的导电部件50的左侧,而位于最右侧的导电部件50的右侧上的牺牲间隔件层44的部分被完全去除。同样,如图9a所示的实例中所表示的,围绕相同的导电部件50或不同的导电部件50的残留牺牲间隔件层44的不同部分可以具有在不同水平处的它们的顶表面。应当理解,以上讨论的空气间隔件52和残留牺牲间隔件层44可以存在于相同的晶圆和相同的管芯22上。
54.应当理解,空气间隔件52之间的介电层34的条可能又窄又高,并且因此可能遭受倾斜和塌陷。根据本发明的一些实施例,通过增加介电层34的硬度值,可以降低以及可能消除倾斜和塌陷。此外,由于介电层34具有较大的硬度值,空气间隔件52的宽度可以增加而无需担心电介质倾斜和塌陷,从而进一步降低rc延迟。在生成的结构中,rc延迟可以等于或小于采用低k介电材料但没有空气间隔件的传统结构的rc延迟。
55.图10示出了金属帽54的形成。将相应的工艺示出为如图28所示的工艺流程200中的工艺226。根据一些实施例,通过选择性沉积工艺形成金属帽54,从而使得金属帽54选择性地沉积在导电部件50的暴露表面上,而不在诸如牺牲间隔件层44和介电层34的介电材料的暴露表面上。根据一些实施例,可以通过ald或cvd来执行选择性沉积工艺。根据一些实施例,金属帽54由钴(co)、钨(w)、cowp、cob、钽(ta)、镍(ni)、钼(mo)、钛(ti)、铁(fe),或它们的组合形成,或者金属帽54包括钴(co)、钨(w)、cowp、cob、钽(ta)、镍(ni)、钼(mo)、钛(ti)、铁(fe),或它们的组合。当沉积金属帽54时,前体可以包括金属卤化物(诸如wcl5)或金属有机材料以及诸如h2的还原剂。沉积工艺可以是在升高的温度下执行的热工艺,诸如在约275℃至约500℃之间的范围内。还可以在开启等离子体的情况下执行该沉积。
56.根据一些实施例,将金属帽54限制在导电部件50的正上方的区域中。金属帽54可以(或可以不)包括略微侧向延伸以形成悬垂的延伸部分,尤其是当空气间隔件52很宽时。该延伸部分接触导电部件50的侧壁的顶部部分,该侧壁面向空气间隔件52。例如,图10示意性地示出了表示金属帽54的延伸部分的虚线54’。金属帽54的延伸部分54’可以延伸到空气
间隔件52的顶部部分中并且延伸到介电层34的顶表面之下,尤其是当空气间隔件52很宽时。此外,延伸部分54’可以与介电层34部分地间隔开,或者可以延伸得足够远以接触介电层34的最靠近部分。因此,金属帽54可以使空气间隔件52处于打开状态,或着可以部分或完全密封空气间隔件52。
57.图9a和图10组合公开了首先形成空气间隔件52,然后形成金属帽54的实施例。根据可选的实施例,首先形成金属帽54,然后通过牺牲间隔件层44的去除以形成空气间隔件52。在图9b和图10组合中显示了这个实施例。参考图9b,沉积金属帽54。例如,通过控制金属帽54的厚度来控制沉积工艺,从而使得金属帽54的横向延伸部不会过度地延伸到牺牲间隔件层44的顶部上。在形成金属帽54之后,牺牲间隔件层44的顶表面存在足够的保持暴露的部分。在形成金属帽54之后,去除牺牲间隔件层44。也在图10中显示生成的结构。然而,根据这些实施例,整个金属帽54高于介电层34的顶表面,并且金属帽54不会延伸到空气间隔件52中。
58.空气间隔件52具有等于1.0的k值,其小于其他介电材料,甚至小于低k介电材料。由于空气间隔件的形成,邻近的导电部件50之间的寄生电容降低。
59.图11示出了蚀刻停止层56的形成。将相应的工艺示出为如图28所示的工艺流程200中的工艺228。蚀刻停止层56接触金属帽54,并且密封空气间隔件52(如果尚未密封的话)。根据一些实施例,蚀刻停止层56可以由选自sin、sic、sion、sioc、sicn或它们的组合的材料形成。蚀刻停止层56还可以包括金属氧化物、金属氮化物等。蚀刻停止层56可以是由均质材料形成的单层,或者是包括多个介电子层的复合层。根据本发明的一些实施例,蚀刻停止层56包括aln层、位于aln层上方的sioc层以及位于sioc层上方的alo层。
60.图12至图14示出了根据一些实施例的双镶嵌结构的形成。参考图12,沉积介电层58。也将相应的工艺示出为如图28所示的工艺流程200中的工艺228。介电层58可以由选自用于形成介电层34的候选材料的相同组的材料形成,并且用于形成介电层34的结构和材料也适用于介电层58。故在此不再重复该细节。例如,介电层58可以具有含有不同氮原子百分比值的上部部分和下部部分。
61.在介电层58中形成沟槽62和通孔开口60。将相应的工艺示出为如图28所示的工艺流程200中的工艺230。根据本发明的一些实施例,形成金属硬掩模(未示出)并且图案化金属硬掩模以限定沟槽62的图案。为了形成通孔开口,执行光刻工艺以蚀刻介电层58以便形成通孔开口。通孔开口从介电层58的顶表面延伸到介电层58的顶表面和底表面之间的中间水平。然后使用金属硬掩模作为蚀刻掩模执行各向异性蚀刻以蚀刻介电层58并且以形成沟槽62。在形成沟槽62的同时,通孔开口向下延伸至金属帽54,由此形成通孔开口60。可以使用定时模式执行形成沟槽62的蚀刻。根据可选的实施例,在单独的光刻工艺中形成通孔开口60和沟槽62。例如,在第一光刻工艺中,形成向下延伸到金属帽54的通孔开口60。在第二印刷工艺中,形成沟槽62。然后金属帽54暴露于通孔开口60。
62.参考图13,形成图案化的牺牲间隔件层64。将相应的工艺示出为如图28所示的工艺流程200中的工艺232。牺牲间隔件层64的形成工艺包括沉积共形层,以及然后执行各向异性蚀刻工艺以去除共形层的水平部分。材料和工艺的细节与参考图4和图5所讨论的类似,并且在此不再重复。牺牲间隔件层64包括位于沟槽62中以形成第一环的第一垂直部分,以及位于通孔开口60中以形成第二环的第二垂直部分。第一环大于第二环,并且第一环与
第二环断开。
63.接下来,参考图14,沉积扩散阻挡件66和金属材料68。材料和形成工艺与参考图6至图8所讨论的类似,并且在此不再重复该细节。在扩散阻挡件66和金属材料68的沉积之后,执行平坦化工艺,形成通孔70和金属线72。将相应的工艺示出为如图28所示的工艺流程200中的工艺234。通孔70和金属线72中的每个都被由牺牲间隔件层64的部分形成的间隔环环绕。
64.图15a示出了从金属线72的侧壁去除牺牲间隔件层64,形成空气间隔件74,当从晶圆20的顶部观察时,空气间隔件74形成环。将相应的工艺示出为如图28所示的工艺流程200中的工艺236。还可以通过各向同性蚀刻工艺来执行该去除。生成的空气间隔件74可以延伸到介电层58的下面部分的顶表面并且生成的空气间隔件74可以暴露于介电层58的下面部分的顶表面。可以存在或者可以不存在留下的残留牺牲间隔件层64,其中示例性的残留牺牲间隔件层64的顶表面被示为64t。此外,由于工艺变化和负载效应,残留牺牲间隔件层64可以具有与前面段落所讨论的残留牺牲间隔件层44类似的情况。例如,牺牲间隔件层44的一些部分可以比其他部分具有更多的留下的残留物,并且牺牲间隔件层44的一些部分可以没有留下的残留物。参考空气间隔件52的讨论可以到可能的情况。
65.由于不能去除牺牲间隔件层64环绕通孔70的部分,牺牲间隔件层64的这些部分将留在最终结构中。可以理解,与空气间隔件和低k介电材料相比,牺牲间隔件层64的这些部分将导致增加的寄生电容。然而,通孔70横向较短,并且通孔70很可能具有与邻近的通孔的更长的距离。因此,与由于形成空气间隔件74带来的寄生电容的降低相比,该寄生电容的不利增加是很小的。或者说,寄生电容的减小多于抵消的寄生电容的增加。
66.图15a进一步示出了金属帽76的形成,金属帽76可以由分别选自用于形成金属帽54的候选材料和候选方法的相同组的材料和方法形成。可以在形成空气间隔件74之前或之后形成金属帽76,这与图9a和图9b所示的实施例类似。同样,当在形成空气间隔件74之后形成金属帽76时,可以形成延伸部分76’并且延伸部分76’在介电层58的顶表面之下延伸。可选的,当在形成空气间隔件74之前形成金属帽76时,整个牺牲间隔件层64,包括位于空气间隔件74的正上方的延伸部分76’,将不会在介电层58的顶表面之下延伸。然后可以沉积蚀刻停止层78。
67.图15b示出了根据可选的实施例的无气隙通孔70’和金属线72’的形成。这些实施例类似于图15a中所示的实施例,除了没有形成牺牲间隔件层,并且因此没有形成空气间隔件。因此,由于它不具有介电倾斜和塌陷的问题,介电层58可以具有较低的k值。例如,介电层58可以具有低于约3.5或低于约3.0的k值,例如在约2.5至约3.0之间。介电层58还可以具有位于其中的孔,这可以通过在介电层58的沉积中加入致孔剂以及通过退火去除致孔剂来实现。
68.在图15b所示的实施例中,通孔70’和金属线72’与周围的介电层58的侧壁物理接触。应当理解,尽管图15b示出了无气隙通孔70’和金属线72’直接形成在导电部件上方,但是根据可选的实施例,由于寄生电容问题在上部金属层中不如在下部金属层中严重,如图15a所示的空气间隔件74可以形成在直接位于介电层34上方的介电层中,而无气隙通孔70’和金属线72’形成在形成有空气间隔件74的层的上方的层中。
69.图15c示出了根据一些实施例的空气间隔件52或74的俯视图。如图15c所示,空气
间隔件52和74中的每个可以形成环绕对应的导电部件50/72的完整环。每个空气间隔件52可以具有基本均匀的宽度w1(例如,变化小于约10%)。可以在对应的空气间隔件52和74的中部高度处来测量宽度w1。空气间隔件52的宽度w1可以与空气间隔件74的宽度w1相同或不同。此外,当从截面图观察时,空气间隔件52具有从顶部至底部基本均匀的宽度(例如,具有小于约20%或小于约10%的变化),并且空气间隔件74具有从顶部至底部基本均匀的宽度(例如,具有小于约20%或小于约10%变化)。
70.图17和图18示出了根据一些实施例的介电层34中的氮的一些示例曲线。假设蚀刻停止层28和56具有高氮原子百分比值。在图17中,介电层34具有从底部至顶部越来越大的氮原子百分比值。在图18中,介电层34的下部部分具有恒定的氮原子百分比,而介电层34的上部部分具有越来越高的原子百分比值。图17和图18还可以反映用于沉积介电层34的包括氮的前体的示意性流速。
71.图19至图22示出了根据本发明的一些实施例的互连结构的形成中的中间阶段的截面图。除非另有说明,这些实施例中的组件的材料和形成工艺与图1至图14、图15a、图15b和图15c所示的前述实施例中由类似的参考标号表示的类似部件大体相同。因此可以在前述实施例的讨论中到关于图19至图22中所示的部件的形成过程和材料的细节。这些实施例的初始步骤与前述实施例中所示的大体相同。
72.图19示出了初始结构的形成。这个结构与图2所示的结构大体相同,除了介电层34的形成与前述实施例不同,并且没有形成空气间隔件。首先,沉积蚀刻停止层32。将相应的工艺示出为如图29所示的工艺流程300中的工艺302。然后沉积介电层34。将相应的工艺示出为如图29所示的工艺流程300中的工艺304。根据一些实施例,形成介电层34以具有高浓度的si-c-si键。如将在后续的段落中讨论的,形成具有高浓度si-c-si键的介电层34可以降低碳耗尽问题,该碳耗尽问题可能带来tddb性能下降和rc延迟增加。介电层34的介电常数(k值)可以低于约3.5,并且可以在约3.0至约3.5之间的范围内。因此,介电层34可以是低k介电层。
73.根据一些实施例,可以使用pecvd、sacvd、ald等来执行介电层34的形成。前体可以包括包含si-c-si键的第一前体和提供碳的第二前体。图23示出了包含si-c-si键的示例性前体,然而也可以使用包含si-c-si键的其他前体。图23和后续讨论的图24中的官能团“r”可以包括ch3、c2h5等。第二前体可以包括含碳前体,诸如co2、co等,并且可以包括或者可以不包括氧(o2)。通过采用包含si-c-si键的前体,生成的介电层34具有较高浓度的si-c-si键,这可以使用傅里叶变换红外光谱仪(ftir)来确定,其中si-c-si键的峰值在约1342/cm至约1381/cm之间的范围内。否则,虽然si-c-si键也可以由不直接包括si-c-si键的前体产生,但介电层34中si-c-si键的浓度将会很低。si-c-si键可以提高介电层34对碳耗尽的抵抗,并且可以提高tddb性能以及降低rc延迟。
74.用于形成介电层的前体可以包括或可以不包括不包含si-c-si键的第三前体。图24示出了第三前体的一些实例。第三前体可以包括硅和碳原子,以及可能的氢原子。硅和碳原子还可以形成诸如ch3、c2h5等的官能团。
75.根据一些实施例,介电层34的沉积工艺包括pecvd,其中前体的流速可以在约30sccm至约1500sccm之间的范围内,和/或在约200mgm至2000mgm之间的范围内。在沉积工艺期间,晶圆20的温度不能过高或过低。如果温度过高,则会降低沉积速率并且增加沉积时
间,导致过高的热预算。如果温度过低,会形成过多的oh键,导致高的k值,这会带来高的rc延迟。因此,沉积温度可以在约200℃至约420℃之间的范围内。在生成的介电层34中,碳原子百分比可以在约10%至约30%之间的范围内。沉积时间可以在约30秒至约100秒之间的范围内。生成的介电层34可以包括sioch、sioc等。
76.根据一些实施例,在介电层34的沉积中,可以(或可以不)添加致孔剂,并且因此,介电层34可以包括或可以不包括孔。由于碳耗尽问题在介电层34的上部部分更严重(如图26和图27所表示的),为了使碳耗尽问题最小化,介电层34的上部部分(诸如上半部或3/4上部)可以与下部部分不同,例如,上部部分具有比对应的下部部分(诸如下半部或1/4下部)更大的si-c-si键浓度。这可以通过在沉积上部部分时增加包括si-c-si的前体的流速来实现。可以使用选自图24的前体而不使用任何包括si-c-si的前体来形成下部部分。可选的,可以使用选自图24的第一前体和包括si-c-si键的第二前体来形成下部部分,其中第二前体具有比形成上部部分时低的流速。当形成上部部分时,可以添加包括si-c-si的前体,和/或可以增加流速。
77.此外,根据一些实施例,从介电层34沉积的特定时刻开始,包括si-c-si的前体的流速可以连续地增加或分阶段增加。该特定时刻可以是介电层34的沉积的开始,或者可以是中间时刻(诸如已经沉积了介电层34的1/4或一半之后)。在该特定时刻之前,可以存在(或可以不存在)包括si-c-si的前体,并且不包括si-c-si的前体的流速可以保持不变。可选的,为了增加上部部分中的si-c-si的浓度,代替增加包括si-c-si的前体的流速,可以降低不包括si-c-si的前体的流速。
78.接下来,同样如图19所示,形成焊盘层36和硬掩模38。将相应的工艺示出为如图29所示的工艺流程300中的工艺306。图案化硬掩模38以形成开口42。将相应的工艺示出为如图29所示的工艺流程300中的工艺308。在后续的工艺中,如图20所示,通过蚀刻工艺将开口42向下延伸,直到开口42穿透介电层34和蚀刻停止层32。将相应的工艺示出为如图29所示的工艺流程300中的工艺310。图21示出了导电部件50的形成。将相应的工艺示出为如图29所示的工艺流程300中的工艺312。导电部件50的细节已在前述实施例中讨论过,并且在此不再重复。
79.图22示出了金属帽54、蚀刻停止层56、介电层58以及蚀刻停止层78的形成。还形成了通孔70、金属线72和金属帽76。将相应的工艺示出为如图29所示的工艺流程300中的工艺314。该细节已在前述实施例中讨论过,并且在此不再重复。
80.根据一些实施例,介电层58中的金属线和通孔比介电层34中的导电部件50彼此间隔得更远。因此,碳耗尽问题在介电层58中不如在介电层34严重。由此可以采用没有si-c-si键的前体来形成电介质58。例如,可以使用图24中所示的前体来形成介电层58。可选的,可以使用比用于形成介电层34的包括si-c-si的前体的更低流速来形成介电层58。结果,介电层58具有比介电层34中低的si-c-si浓度。
81.图25示出了晶圆20(管芯22)中导电部件的可能的层的方案。晶体管114形成在半导体衬底24的顶表面处,并且晶体管114代表如图15a、图15b或图22所示的集成电路器件26。晶体管114包括栅极堆叠件110和源极/漏极区112。在晶体管114上方,存在接触件(ct)层,其中形成接触插塞30(图15a/图15b和图22)。在接触件层上方形成可以在其中包括金属线的金属层m0。还形成了诸如m1至m14的多个金属层和诸如v1至v13的通孔层。可以使用单
镶嵌工艺或双镶嵌工艺来形成这些层。
82.可以以任意组合在这些层中的任意层中的金属部件旁边形成空气间隔件。当在使用单镶嵌工艺形成的层中形成空气间隔件时,可以采用如图4至图8、图9a、图9b和图10至图11所示的工艺。当在使用双镶嵌工艺形成的层中形成空气间隔件时,可以采用如图12至图15a所示的工艺。根据一些实施例,诸如金属层m0、m1、m2等的下部层中的介电层可以具有比上部金属层中的介电层更高的氮原子百分比。同样,下部金属层的介电层中的si-c-si键浓度可以大于上部金属层的介电层中的si-c-si键浓度。
83.根据一些实施例,存在阈值金属层(诸如m3、m4或m5),并且包括阈值金属层并且低于该阈值金属层的下部金属层的介电层具有比高于该阈值金属层的上部金属层的介电层更高的氮原子百分比。在任意上部金属层中都可以不形成空气间隔件。同样,下部金属层的介电层可以用包括si-c-si键的前体形成,而上部金属层的介电层可以用不包括si-c-si键的前体形成。
84.图26和图27示出了根据一些实施例的碳原子百分比值的曲线。x轴表示由图22中箭头80标记的位置中从介电层的顶表面至蚀刻停止层的底部测量的深度。y轴表示相对碳原子百分比值。图26示出了其中不使用包括si-c-si的前体的介电层34中的碳原子百分比值。图27示出了其中使用了包括si-c-si的前体的介电层34中的碳原子百分比值。因为介电条34比下部部分更窄,图26和图27示出了碳耗尽主要发生在靠近介电层34的顶部部分。在图27中观察到,碳耗尽区域84比图26中的碳耗尽区域82窄得多,表明更多的si-c-si键可以有效地降低碳耗尽。
85.本发明的实施例具有一些有利特征。一方面,当在介电层中形成空气间隔件时,将介电层形成为具有提高的机械强度(通过采用更多的氮)。因此可以降低介电层的狭窄部分的倾斜和塌陷。另一方面,与常规结构相比,互连结构包括包含增加的si-c-si键的介电层。因此,降低了来自介电层的碳耗尽。
86.根据本发明的一些实施例,方法包括在衬底上方沉积介电层;蚀刻介电层以形成开口,其中介电层下面的第一导电部件暴露于开口,其中,使用其中包括氮的前体形成介电层;沉积延伸到开口中的牺牲间隔件层;图案化牺牲间隔件层,其中,去除位于开口的底部处的牺牲间隔件层的底部部分以露出第一导电部件,以及留下位于开口中和介电层侧壁上的牺牲间隔件层的第一垂直部分以形成第一环;在开口中形成第二导电部件,其中,第二导电部件由第一环环绕,并且第二导电部件位于第一导电部件上方并且电耦合至第一导电部件;以及去除第一环的至少部分以形成空气间隔件。在实施例中,沉积介电层包括沉积高k介电材料。
87.在实施例中,方法还包括在第二导电部件上方形成金属帽层,其中金属帽层包括延伸到空气间隔件中的延伸部分。在实施例中,该方法还包括在第二导电部件上方形成金属帽层,其中,在形成金属帽层之后去除第一环。在实施例中,使用包括硅、碳和氢的第一前体以及包括氮的第二前体来执行沉积介电层。在实施例中,不使用致孔剂执行沉积介电层。在实施例中,沉积介电层包括沉积具有第一氮原子百分比的下部部分;以及在下部部分上方沉积上部部分,其中,上部部分具有与第一氮原子百分比不同的第二氮原子百分比。
88.在实施例中,开口包括沟槽和位于沟槽下面的通孔开口,并且第一环位于沟槽中,以及图案化牺牲间隔件层留下保留在通孔开口中的第二环。在实施例中,方法还包括在第
二导电部件上方沉积蚀刻停止层,其中,在沉积蚀刻停止层之后的时间,保留第二环。在实施例中,方法还包括在牺牲间隔件层上方形成额外的介电层以及密封空气间隔件,其中,第一环的残留部分留在额外的介电层下面。在实施例中,完全去除第一环。
89.根据本发明的一些实施例,结构包括衬底;位于衬底上方的第一导电部件;位于第一导电部件上方的第一蚀刻停止层;位于第一蚀刻停止层上方的介电层,其中,介电层包括在其中的氮,其中,介电层包括高k介电材料;位于介电层和第一蚀刻停止层中的第二导电部件,其中,第二导电部件位于第一导电部件上方并且接触第一导电部件;环绕第二导电部件的空气间隔件,其中,第二导电部件的侧壁暴露于空气间隔件;以及位于介电层上方并且接触介电层的第二蚀刻停止层,其中,第二蚀刻停止层还位于第二导电部件上方。
90.在实施例中,介电层包括顶部部分和底部部分,其中,顶部部分具有比下部部分大的氮原子百分比。在实施例中,空气间隔件从介电层的顶表面延伸到第一蚀刻停止层的底表面。在实施例中,介电层具有在约15gpa至约35gpa之间的范围内的硬度。在实施例中,结构还包括与第二导电部件的底部部分的侧壁接触的介电材料,并且其中,第二导电部件的顶部部分暴露于空气间隔件,并且其中,介电材料和介电层由不同的材料形成。在实施例中,在第二导电部件和空气间隔件之间没有介电材料。
91.根据本发明的一些实施例,结构包括第一导电部件;位于第一导电部件上方并且电耦合至第一导电部件的第二导电部件,其中,第二导电部件包括扩散阻挡件;以及位于由扩散阻挡件形成的凹槽中的金属材料;环绕第二导电部件的顶部部分的空气间隔件;以及环绕空气间隔件的介电层,其中,介电层包括包含氮的高k介电材料。在实施例中,结构还包括将第二导电部件的底部部分与介电层分隔开的介电材料,其中,介电材料直接位于空气间隔件的下面并且暴露于空气间隔件。在实施例中,介电层具有在约15gpa至约35gpa之间的范围内的硬度。
92.前面概述了落干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

技术特征:


1.一种形成互连结构的方法,包括:在衬底上方沉积介电层;蚀刻所述介电层以形成开口,其中,所述介电层下面的第一导电部件暴露于所述开口,其中,使用其中包括氮的前体形成所述介电层;沉积延伸到所述开口中的牺牲间隔件层;图案化所述牺牲间隔件层,其中,去除位于所述开口的底部处的所述牺牲间隔件层的底部部分以露出所述第一导电部件,以及留下位于所述开口中和所述介电层的侧壁上的所述牺牲间隔件层的第一垂直部分以形成第一环;在所述开口中形成第二导电部件,其中,所述第二导电部件由所述第一环环绕,并且所述第二导电部件位于所述第一导电部件上方并且电耦合至所述第一导电部件;以及去除所述第一环的至少部分以形成空气间隔件。2.根据权利要求1所述的方法,其中,所述沉积所述介电层包括沉积高k介电材料。3.根据权利要求1所述的方法,还包括在所述第二导电部件上方形成金属帽层,其中,所述金属帽层包括延伸到所述空气间隔件中的延伸部分。4.根据权利要求1所述的方法,还在所述第二导电部件上方形成金属帽层,其中,在形成所述金属帽层之后,去除所述第一环。5.根据权利要求1所述的方法,其中,使用包括硅、碳和氢的第一前体以及包括氮的第二前体来执行所述沉积所述介电层。6.根据权利要求1所述的方法,其中,在不使用致孔剂的情况下执行所述沉积所述介电层。7.根据权利要求1所述的方法,其中,所述沉积所述介电层包括:沉积具有第一氮原子百分比的下部部分;以及在所述下部部分上方沉积上部部分,其中,所述上部部分具有与所述第一氮原子百分比不同的第二氮原子百分比。8.根据权利要求1所述的方法,其中,所述开口包括沟槽和位于所述沟槽下面的通孔开口,并且所述第一环位于所述沟槽中,以及所述图案化所述牺牲间隔件层留下保留在所述通孔开口中的第二环。9.一种互连结构,包括:衬底;第一导电部件,位于所述衬底上方;第一蚀刻停止层,位于所述第一导电部件上方;介电层,位于所述第一蚀刻停止层上方,其中,所述介电层包括在其中的氮,其中,所述介电层包括高k介电材料;第二导电部件,位于所述介电层和所述第一蚀刻停止层中,其中,所述第二导电部件位于所述第一导电部件上方并且接触所述第一导电部件;空气间隔件,环绕所述第二导电部件,其中,所述第二导电部件的侧壁暴露于所述空气间隔件;以及第二蚀刻停止层,位于所述介电层上方并且接触所述介电层,其中,所述第二蚀刻停止层还位于所述第二导电部件上方。
10.一种互连结构,包括:第一导电部件;第二导电部件,位于所述第一导电部件上方并且电耦合至所述第一导电部件,其中,所述第二导电部件包括:扩散阻挡件;以及金属材料,位于由所述扩散阻挡件形成的凹槽中;空气间隔件,环绕所述第二导电部件的顶部部分;以及介电层,环绕所述空气间隔件,其中,所述介电层包括包含氮的高k介电材料。

技术总结


本发明的实施例提供了形成互连结构的方法包括在衬底上方沉积介电层,以及蚀刻介电层以形成开口并且暴露介电层下面的第一导电部件。使用其中包括氮的前体形成介电层。方法还包括沉积延伸到开口中的牺牲间隔件层,以及图案化牺牲间隔件层以去除牺牲间隔件层的底部部分。留下位于开口中和介电层侧壁上的牺牲间隔件层的垂直部分以形成环。在开口中形成第二导电部件。第二导电部件由环环绕,并且第二导电部件位于第一导电部件上方并且电耦合到第一导电部件。去除环的至少部分以形成空气间隔件。本发明的实施例还提供了互连结构。本发明的实施例还提供了互连结构。本发明的实施例还提供了互连结构。


技术研发人员:

李明宗 潘谊纹 吕子农 李祐岚 柯忠祁

受保护的技术使用者:

台湾积体电路制造股份有限公司

技术研发日:

2022.06.16

技术公布日:

2023/3/24

本文发布于:2024-09-24 09:16:29,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/2/78096.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:间隔   部件   所述   工艺
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议