QUARTUS LPM模块功能介绍中文版

QUARTUS 8.0 LPM模块功能介绍中文版( lwg9982004's Blog转载)
QUARTUS 8.0 LPM模块功能介绍中文版(lwg9982004's Blog转载)
本人英语很好很多地方都翻译的不太专业,现在贴出来请高手修改下,同时也方便我们这些初学者。
Megafunctions/LPM
The Quartus II software offers a variety of megafunctions, including the library of parameterized modules (LPM) functions and other parameterized functions. Megafunctions are listed below by function. Functions indicated by an asterisk (*) are provided for backward compatibility only.
  Arithmetic MegaWizards and Megafunctions:
Arithmetic components include accumulators, adders, multipliers, and LPM arithmetic functions.
MegaWizard
Megafunction(s)
Comments

ALTACCUMULATE远程监控设备
altaccumulate
Parameterized accumulator megafunction.
参数化的寄存器模块

ALTECC
altecc_decoder
Error correction code (ECC) megafunction.宇山自动化
数据代码纠正模块

altecc_encoder
Error correction code (ECC) megafunction.
数据代码纠正模块

ALTFP_ADD_SUB
altfp_add_sub
Floating-point adder/subtractor megafunction.
浮点、加法器/减法器模块

ALTFP_COMPARE
altfp_compare
Parameterized floating-point comparator megafunction.
参数化的浮点比较器模块

ALTFP_CONVERT
altfp_convert
Parameterized floating-point conversion megafunction.
参数化的浮点转换器模块

ALTFP_DIV
altfp_div
Parameterized floating-point divider megafunction.
参数化的浮点分配器模块

ALTFP_MULT
altfp_mult
Parameterized floating-point multiplier megafunction.
参数化的浮点乘法器模块


ALTFP_SQRT
altfp_sqrt
Parameterized floating-point square root megafunction.
参数化的浮点平方根模块

ALTMEMMULT
altmemmult
Parameterized memory multiplier megafunction.
数化的记忆乘法器模块

ALTMULT_ACCUM (MAC)
altmult_accum
Parameterized multiply-accumulate megafunction.
参数化的乘-累积模块

ALTMULT_ADD
altmult_add
Parameterized multiplier/adder megafunction.
参数化的乘法器/加法器模块

ALMULT_COMPLEX
altmult_complex
Parameterized complex multiplier megafunction.
参数化的综合乘法器模块

ALTSQRT
altsqrt
Parameterized integer square root megafunction.
参数化的整数平方根模块

LPM_ABS
lpm_abs
Parameterized absolute value megafunction.
参数化的绝对值模块

LPM_ADD_SUB
lpm_add_sub
Parameterized adder/subtractor megafunction.
参数化的加法器/减法器模块

LPM_COMPARE
lpm_compare
Parameterized comparator megafunction.
参数化的比较器模块

LPM_COUNTER
lpm_counter
Parameterized counter megafunction.
参数化的计数器模块

LPM_DIVIDE
lpm_divide
Parameterized divider megafunction.
参数化的分配器模块

divide*
Parameterized divider megafunction.
参数化的分配器模块
Use the divide megafunction with mature device families.
For newer device families, use the lpm_divide megafunction.


LPM_MULT
lpm_mult
Parameterized multiplier megafunction.
参数化的乘法器模块

altsquare
Parameterized squarer megafunction.
参数化的 squarer 模块


人脸识别门PARALLEL_ADD
parallel_add
Parallel adder megafunction.
参数化的并联加法器模块
Gates MegaWizards and Megafunctions:
Gates include multiplexers and LPM gate functions.
MegaWizard
Megafunction(s)
Comments

LPM_AND
lpm_and
Parameterized AND gate megafunction.
参数化的与门模块

LPM_BUSTRI
lpm_bustri
Parameterized tri-state buffer megafunction.
参数化的三态缓冲器模块

LPM_CLSHIFT
lpm_clshift
Parameterized combinational logic shifter or barrel shifter megafunction.
参数化的组合逻辑转换模块

LPM_CONSTANT
lpm_constant
Parameterized constant generator megafunction.
流量生成
参数化的常数发生器模块

LPM_DECODE
lpm_decode
Decoder megafunction.
参数化的解码器模块滨州玻璃垫片

LPM_INV
lpm_inv
Parameterized inverter megafunction.
参数化的反相器模块

LPM_MUX
lpm_mux
Parameterized multiplexer megafunctions.
参数化的多路转换器模块

LPM_OR
lpm_or
Parameterized OR gate megafunction.
参数化的或门模块

LPM_XOR
lpm_xor
Parameterized XOR gate megafunction.
参数化的异或门模块

I/O MegaWizards and Megafunctions:
I/O components include Clock Data Recovery (CDR),
phase locked loop (PLL), double data rate (DDR),
gigabit transceiver block (GXB), LVDS receiver,
LVDS transmitter, PLL reconfiguration, and remote update megafunctions.
MegaWizard
Megafunction(s)
Comments

ALT2GXB
alt2gxb
GXB megafunction.
千兆位收发器

ALT2GXB_RECONFIG
alt2gxb_reconfig
GXB dynamic reconfiguration megafunction.
千兆位动态配置收发器

ALTASMI_PARALLEL
抽油机模型 altasmi_parallel
Active serial memory interface parallel megafunction.
并联接口的串行存储器

ALTCLKCTRL
altclkctrl
Clock control block megafunction.
时钟控制模块

ALTCLKLOCK
altclklock
Parameterized PLL megafunction.
参数化的锁相环模块

ALTDDIO_BIDIR
altddio_bidir
DDR bidirectional megafunction.
双倍数双向模块

ALTDDIO_IN
altddio_in
DDR input megafunction.
双倍数输入模块


ALTDDIO_OUT
altddio_out
DDR output megafunction.
双倍数输出模块

ALTDLL
altdll
Delay locked loop (DDL) megafunction.
锁相环模块

ALTDQ
altdq
Data strobe megafunction.
数据滤波模块

ATLDQS
altdqs
Parameterized bidirectional data strobe megafunction.
参数化的双向数据滤波器


atldq_dqs
Parameterized data strobe megafunction.
参数化的数据滤波器

本文发布于:2024-09-22 15:29:07,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/2/233243.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:模块   参数   乘法器   浮点   数据   贴出来
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议