序列信号发生器VHDL设计实验报告

毛绒玩具制作
实验三序列信号发生器VHDL设计
一、实验目的
1、设计一个序列信号发生器,可以在时钟的作用下周期性的产生1110010序列信号
2、学习时序电路的设计方法;
3、掌握产生周期性信号电路的设计方法;
4、掌握同步和异步概念;
丹参提取物5、掌握仿真的目的和作用;
二、实验环境
窄边框显示器
QuartusII 、PC机、GW-PK2 EDA实验箱
三、实验原理
给出原理图,说明行为描述方式设计序列信号发生器的原理。
可以产生周期信号的序列信号发生器由计数器和译码器构成。若想产生1110010序列信号,则需要三位二进制计数器,从000记到110,当时钟是上升沿时,若当前记到110,则将计数清为000,再从头开始,否则计数加1,译码器将每个三位二进制数转换为一位序列信号,计数器和译码器分别由两个进程实现。酒瓶盖
四、实验内容及要求
利用QuartusII完成序列信号发生器的VHDL设计及仿真测试,给出仿真波形,进行引脚
锁定,并在实验箱上进行硬件验证。
五、实验步骤
(1)用文本方式输入设计文件并存盘
①创建工程,利用“New Preject Wizard”创建此设计工程。选择菜单“File” “New Preject Wizard”,点击Next,即可弹出工程设置对话框点击此框最上一栏右侧的按钮“…”,设置工程路径,到文件夹D:\Quartus8\vhdl_code\three,填写工程名和顶层文件名称后,点击Next按钮进行下一步。
②添加设计源程序。如果已有源程序,可以在此加入到工程中,如果没有点击Next
进行下一步。
③选择目标芯片。首先在“Family”栏选芯片系列,在此选“ACEX1K”系列,选择
此系列的具体芯片:EP1K30TC144-3。
④选择仿真器和综合器类型。点击上图的Next按钮,这时弹出的窗口是选择仿真器
和综合器类型的,如果都是选默认的“NONE”,表示都选QuartusII中自带的仿真器和综
合器,因此,在此都选默认项“NONE”。
⑤结束设置。点击上图中的Next后,弹出工程设置统计窗口,列出此项工程的相关设置情况。最后点击“Finish”。
⑥点击图示的New并新建一个vhdl文件
磁化杯
⑦输入序列信号发生器设计文件并且存盘
透水混凝土施工工艺
(2)选择目标器件并编译、综合
①点击图示编译按钮
②序列信号发生器资源占用情况
③点击左边选项栏里的Timing Analyzer里的tco查看延时信息
④查看RTL电路图

本文发布于:2024-09-23 10:30:15,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/2/224763.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:信号   设计   序列   工程   点击   实验   设置
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议