微电子学_集成电路

TN386.5                                  2009030693 光锥耦合对ICCD成像系统分辨力的影响分析/ 崔志刚,白廷柱,高稚允(北京理工大学信息科学技术学院光电工程系)// 光学技术. ―2008,34(6). ―803~805.
对耦合过程中的每个环节的分辨力变化情况进行了实际测试和对比分析。阐述了制约ICCD分辫率的瓶颈因素,提出了通过耦合高清数码相机CCD来提高ICCD分辨力的方案。图14表0参7
TN386.5                                  2009030694 CCD制作中的PCM测试图形/ 张振宇,雷仁方(重庆光电技术研究所)// 半导体光电. ―2008,29(6). ―903~905,931.
针对CCD的结构和制作工艺特点,设计出适合CCD的过程控制监控(PCM)测试图形,通过对光刻分辨率、套刻精度、薄膜电容、孔电阻等进行监测,稳定了CCD的工艺水平,提高了CCD的良品率。图7表0参1
TN389                                    2009030695 IGBT技术发展综述/ 叶立剑,邹勉,杨小慧(南京电子器件研究所)// 半导体技术. ―2008,33(11). ―937~940,951.
绝缘栅双极晶体管(IGBT)自问世以来,在结构设计、加工工艺和应用开发等方面得到了很大的发展。概述了IGBT的一般结构和发展历史,着重介绍了近年来几个专利技术中IGBT结构设计和制造方面的新进展。特别是宽禁带半导体材料SiC的异军突起,为IGBT技术开辟了一个新的发展空间。图7表0参8
8、微电子学、集成电路
TN4                                      2009030696 一种采用新型复合沟道GaN HEMTs低噪声分布式放大器/ 程知,周肖鹏,陈敬(杭州电子科技大学射频电路与系统教育部重点实验室)// 半导体学报. ―2008,29(12). ―2297~2300.
设计研制了一种新型的低噪声分布式放大器,采用了栅长为1μm的低噪声复合沟道Al0.3Ga0.7N/Al0.05Ga0.95N/GaN HEMT(CC-HEMT)。给出了低噪声分布式放大器的仿真和测试结果。测试结果显示低噪声分布式放大器在2~10GHz频率范围内,输入和输出端口驻波比均小于2.0,相关增益大于7.0dB,带内增益波纹小于1dB。在2~6GHz频率范围内,噪声系数小于5dB;在2~10GHz频率范围内,噪声系数小于6.5dB;测试结果与仿真结果较吻合。图7表1参10
TN4                                      2009030697 温度和工艺参数浮动下双阈值footed多米诺电路的漏电流特性/ 宫娜,汪金辉,郭宝增,庞娇(河北大学电子信息工程学院)// 半导体学报. ―2008,29(12). ―2364~2371.
考虑到温度和工艺参数浮动的影响,对休眠双阈值footed多米诺电路的漏电流特性进行了系统的量化研究和比较,得到了不同温度下的最佳休眠状态。基于65和45nm BSIM4模型的HSPICE仿真表明:与业已提出的CHIL(时钟为高,输入均为低电平)状态和CHIH(时钟和输入均为高电平)状态相比,该文
提出的CLIL(时钟和输入均为低电平)状态更有利于减小低温下电路的漏电流和高温下的多扇入电路的漏电流。而且,分析了工艺参数的浮动对双阈值footed多米诺电路的漏电流特性的影响,并给出了温度和工艺参数浮动下,双阈值footed多米诺电路漏电流最小的休眠状态。图8表3参22
TN4                                      2009030698 45nm工艺pn混合下拉网络多米诺异或门设计/ 汪金辉,宫娜,耿淑琴,侯立刚,吴武臣,董利民(北京工业大学集成电路与系统研究室)// 半导体学报. ―2008,29(12). ―2443~2448.
提出了一种pn混合下拉网络技术,即在多米诺门的下拉网络中混合使用pMOS管和nMOS管来降低电路的功耗并提高电路的性能。首先,应用该技术设计了多米诺异或门,与标准的n型多米诺异或门相比,新型异或门的静态功耗和动态功耗分别减小了46%和3%。然后,在该技术的基础上,综合应用多电源电压技术和双阈值技术设计了功耗更低的多米诺异或门,与标准的n型多米诺异或门相比,静态功耗和动态功耗分别减小了82%和21%。最后分析并确定了4种多米诺异或门的最小漏电流状态和交流噪声容限。图5表3参16
TN401                                    2009030699 与温度、电压及工艺涨落无关的CMOS电流基准/ 王忆,巩文超,王义凯,何乐年,严晓浪(浙江大学超大规模集成电路设计研究所)// 浙江大学学报(工学版). ―2008,42(11). ―1878~1884.
利用工作在亚阈值区的MOS管代替传统电流基准中的三极管或电阻器件,实现了一款全CMOS器件的电流基准。利用PMOS管的体效应实现进一步的温度补偿;利用共源共栅和反馈结构有效地增加了基准电流源德电源抑制比;并利用当工艺发生偏差时CMOS管阈值电压、电子(空穴)迁移率和亚阈值区MOS管漏源电流之间的关系,降低了工艺涨落对基准电流的影响。该设计采用Cadence公司的Spectre软件以及CSMC公司的0.5μm CMOS混合信号模型进行仿真设计,设计的基准电流中心值为1.62μA。综合考虑温度、电压和工艺涨落对电流基准的影响,温度系数为1.58×10-4%/℃,电源抑制比为90.5dB,工艺涨落仅造成基准电流±3.5%的变化。图9表3参11 TN401                                    2009030700 静电驱动蝴蝶结状双端固支梁的节点分析法/ 李敏,黄庆安,李伟华(东南大学MEMS教育部重点实验室)// 半导体学报. ―2008,29(12). ―2432~2436.
为了在节点化设计方法中对静电驱动的蝴蝶结状双端固支梁进行分析,采用伽辽金残余法建立了静电驱动梯形梁单元的节点化模型,在Hspice 中构建了相应的等效电路模型。与有限元仿真结果及文献中给出的实验结果进行了比较,结果表明模型具有较高的精度,可在相关设计中作为参考。图7表0参22
TN401                                    2009030701 10.5GHz 1:2静态分频器设计与实现/ 张敏,张有涛,陈新宇(南京电子器件研究所)// 固体电子学研究与进展. ―2008,28(4). ―516~519. 采用0.18μm CMOS工艺设计并实现了1:2静态分频器。设计中为达到高速率和高灵敏度,对传统的SCFL结构D触发器进行了拓扑及版图优化。测试结果表明,电源电压为  1.8V时,该分频器最高工作频率高于10.5GHz,最低
工作频率低于2.5MHz(受测试条件限制),输入信号0dBm 时的工作频率范围为2.5MHz~9.4GHz,芯片核心功耗9mW,核心面积50μm×53μm。图8表0参6
TN401                                    2009030702 一种多端口器件测量技术/ 徐丽,黄成,徐佳,刘志明,吴建辉(东南大学国家专用集成电路系统工程技术研究中心)// 固体电子学研究与进展. ―2008,28(4). ―524~527.
给出了一种用二端口矢量网络分析仪(VNA)对多端口器件进行测量的方法,该方法运用简单的迭代法,减小由于其余端口所接负载的非匹配性引入的误差,易于通过软件实现。利用该方法对三端口器件巴伦进行测量,并将最后结果与三端口VNA测得的结果进行比较。比较结果表明,幅度误差小于0.2dB,相位误差小于3°。该方法在多端口VNA不可获得的情况下,可以应用到多端口器件的精确测量上。图5表0参11
TN402                                    2009030703 多核SoC可扩展性设计技术研究/ 杜高明,张多利,汤益华(合肥工业大学微电子设计研究所)// 电子测量与仪器学报. ―2008,22(6). ―33~37. 近年来,使用多核SoC代替传统的单处理器系统,在提高系统并行性方面显示出了巨大的优势。该文在已有层次化总线结构MPSoC的基础上,研究多核SoC原型芯片可扩展性设计问题。在RTL级设计了上述平台,并用FPGA进行原型验证,以流水矩阵乘法为例研究其在不同工作负载下的加速比变化。实验结果表明,在6
个处理器的情形下,循环次数为6次时加速比仅为4.10;随着循环次数增多,加速比可达5.48。研究表明多核层次化总线原型芯片的性能提升百分比以及面积增加百分比与处理器数目成正比。可以通过增加处理器的数目来提升MPSoC原型芯片的性能。图5表2参8
TN402                                    2009030704 新型流水线ADC的设计与分析/ 程梦璋,景为平(华侨大学信息科学与工程学院)// 电子科技大学学报. ―2008,37(6). ―930~933.
设计和分析了一种新型的流水线式模数转换器。电路设计主要包括一种开关采样差分折叠式共源共栅增益级,两个时钟控制动态比较器组成的两位模数转换器、两位数模转换器。由于采用了电容下极板采样、全差分和开关栅电压自举,有效地消除了开关管的电荷注入效应,时钟馈通效应引起的采样信号的误差,提高了模数转换器的线性度、信噪比、转换精度和速度。该转换器的设计是在0.6μm CMOS工艺下实现,转换器
在采样频率为5MHz、信号频率为500kHz时功耗为70mW;SFDR为80dB。图7表2参10
TN402                                    2009030705 2.5V 19-ppm/℃的带隙基准电压源设计/ 鲁世斌,陈军宁,吴秀龙,黄智(安徽大学电子科学与技术学院)// 安徽大学学报(自然科学版). ―2008,32(5). ―41~43.
论文在分析传统带隙基准源的基础上,设计了低电压输出的带隙基准电压源电路。采用Charter 0.35μm 标准CMOS工艺,并用Mentor Graphics 公司的Eldo仿真器对带隙基准电压源电路的电源特性、温度特性进行了仿真。该带隙基准电压源的温度系数为19-ppm/℃,在室温下当电源电压2.0~3.0V时,基准电压源输出电压为(915.4±0.15)mV,功耗小于0.2-mW。图4表0参6
TN402                                    2009030706 GF(2m)域高效椭圆曲线标量乘结构的研究/ 但永平,邹雪城,刘政林,韩煜(华中科技大学电子科学与技术系)// 华中科技大学学报(自然科学版). ―2008,36(11). ―48~51.
为提高椭圆曲线加密运算的速度,提出了一种多项式基表示的GF(2m)域高效标量乘加速器结构。该结构对面积和性能进行了合理的权衡,采用点加、倍点模块并行运算以提高速度;为了减少面积采用并行和串行相结合的方法对点加和倍点模块进行优化,初始化和最后的坐标变换求逆模块通过优化分解成一系列乘和加运算,合并在一个模块中用串行结构实现。采用Xilinx公司的VirtexE XCV2600 FPGA硬件实现结果表明,完成有限域GF(2163)上任意椭圆曲线上的一次标量乘的全部运算时间消耗为36.5μs,适合高性能椭圆曲线加密应用的要求。图4表1参11
TN402                                    2009030707 椭圆曲线密码SOC的研究与设计/ 张丽娜,陈建华,黄尹,胡进(武汉大学数学与统计学院)// 华中科技大学学报(自然科学版). ―2008,36(11). ―52~55.
通过分析椭圆曲线密码体制,比较软、硬件模块结构,对软硬件接口进行划分,提出了一种适合椭圆曲线公钥密码运算和满足实际产品需求的简单高效SOC架构,并讨论了设计、验证、实现以及软件系统的开发,成功完成了一款完全符合椭圆曲线密码体制及其安全标准的SOC芯片。椭圆曲线密码SOC采用HHNEC 0.25μm制造工艺,实际芯片在59MHz 下的测试表明,192bit非固定点乘运算性能为456次/s,256bit非固定点乘运算性能为232次/s。图2表1参6
TN402                                    2009030708 一种应用于QAM信号的新型高速均衡器/ 姜志祥,张萌,李自创(东南大学国家专用集成电路系统工程技术研究中心)// 半导体学报. ―2008,29(12). ―2353~2358.
提出了一种基于新型算法SGLMS-CMA的高速QAM均衡器。SGLMS-CMA算法在stop-and-go原理指导下结合了经典CMA算法和DD-LMS算法。MATLAB仿真表明,与经典CMA算法相比,新型算法具有收敛速度快5倍,剩余MSE改善3~5dB,运算复杂度减少了82%,可纠正残留相偏的优点。综合结果表明,采用SGLMS-CMA+DD-LMS 的新型均衡器与CMA+DD-LMS均衡器相比,系统中均衡器模块的硬件开销只增加5%。最后使用SMIC 0.18μm工艺库对新型均衡器进行综合,并且嵌入到QAM解调芯片中进行流片,最终测试结果表明新型均衡器性能优越。图9表1参10
TN402                                    2009030709 一种低工艺敏感度,高PSRR带隙基准源/ 李景虎,王永生,来逢昌(哈尔滨工业大学微电子中心)// 固体电子学研究与进展. ―2008,28(4). ―602~606.
实现了一种高精度带隙基准源,该基准源在预调节电路中应用了电源行波减法技术,显著改善了输出电压的电源抑制比。提出了采用电流负反馈技术稳定预调节电路电流的方法,降低了带隙基准的温度特性和电源抑制比对阈值电压的敏感度。考虑晶体管阈值电压发生±20%变化的情况下,仿真得到的基准源的温度系数和电源抑制比变化分别只有0.11ppm 和7dB。测试结果表明,该基准源在-20~100℃的范围内的有效温度系数为25.7ppm/℃,低频电源抑制比为-68dB。其功耗为0.5mW,采用中芯国际0.35μm 5-V混合信号CMOS工艺实现,有效芯片面积为300μm ×200μm。图5表2参10
TN402                                    2009030710 PWM降压型DC/DC转换器的高层次模型/ 朱樟明,杨银堂(西安电子科技大学微电子学院)// 固体电子学研究与进展. ―2008,28(4). ―607~611. 基于Simulink采用状态矢量加权线性化方法建立了3A/150kHz降压型电流控制PWM开关稳压电源的高层次模型,并根据系统模型,利用Hspice 仿真工具,采用Sim-BCD 2μm/36V双极工艺对模型进行了设计验证,在12V输入、5.0V 2.0A输出条件下的测试结果表明,转换效率达90%以上,输出电压误差可控制在±4%之内。图7表0参6
TN402                                    2009030711 一个有利于消除电荷共享的新型电荷泵/ 曾隆月,阎跃鹏,刘永刚,刘枚(中国科学院微电子学研究所)// 电子器件. ―2008,31(6). ―1825~1827. 为了消除电荷泵的电荷共享效应,通过在发生电荷共享的节点预置一个特定的电压,设计出了一个适用于频率合成器的新型电荷泵。在Chartered 公司0.18 RF CMOS工艺条件下,HSPICE的仿真结果表明,这一新型电荷
泵与传统的相比,很好地抑制了电荷共享效应,具有很低的输出纹波,在高性能频率合成器中具有良好的应用前景。图4表0参10
TN402                                    2009030712 高性能验证平台设计与搭建/ 宋秀兰,吴晓波(中国科学院微电子研究所杭州分部)// 电子器件. ―2008,31(6). ―1819~1821.
随着集成电路设计的复杂度越来越高,系统验证的难度也在不断地提高。为了能更有效地完成验证工作,需要采用先进的验证方法来构建高性能验证平台。该文介绍的项目中,采用了多种先进验证技术,使用RVM分层结构,混合验证语言,集成多种验证IP,构建了一个存储系统的高效验证平台,探索了复杂系统验证平台设计与搭建之路。图3表2参5
TN402                                    2009030713 一种基于ALU单元的改进的三模冗余结构设计/ 尹立,袁国顺(中国科学院微电子研究所)// 电子器件. ―2008,31(6). ―1936~1938,1942. 对于传统的三模冗余结构(TMR),当其中两个模块发生失效时可能出现功能相同的情况,造成三模冗余失效。为了解决这一问题,针对ALU模块的结构特点提出了对操作数编码的方法到达三个模块差异化的效果,采用该方法后能100%的消除TMR同功能失效的问题,同时该方法相对于模块的差异化设计成本更低,效果更明显。图4表0参9
TN402,TN42                              2009030714 GPS载波跟踪环设计/ 言峰,谢憬,毛志刚(上海交通大
学微电子学院)// 电子测量技术. ―2008,31(11). ―140~143.
该文实现了一种基于科斯塔回路(Costa)的GPS载波跟踪环硬件设计。该设计首先采用数字混频器使中频信号与GPS本地数字频率合成器产成的同相和正交信号进行混频,然后经过低通滤波器滤除高频分量,再通过基于CORDIC算法的反正切电路计算出频率偏差。最后用频率偏差去修正本地数字频率合成器的输出频率,以达到消除多普勒频移,跟踪载波频率相位的目的。文中详细介绍了各个模块的设计思路以及实现,并进行了仿真。仿真结果表明,该设计具有良好的实时性和精确度。图5表2参8
TN402,TN432                              2009030715 一种CMOS IC片上电源ESD保护电路/ 王怡飞,白雪飞,郭立(中国科学技术大学电子科学与技术系)// 电子器件. ―2008,31(6). ―1780~1782. 随着集成电路工艺的高速发展,特征尺寸越来越小,静电放电对CMOS 器件可靠性的危害也日益增大,ESD保护电路设计已经成为IC设计中的一个重要部分。讨论了两种常见的CMOS集成电路电源系统ESD保护电路,分析了它们的电路结构、工作原理和存在的问题,进而提出了一种改进的电源动态侦测ESD保护电路。使用HSPICE仿真验证了该电路工作的正确性,并且在一款自主芯片中使用,ESD测试通过±3000V。图6表0参8
TN402,TN918.4                            2009030716 一类有限域乘法器的设计实现/ 梁田,沈海斌,金意儿(浙江大学超大规模集成电路设计研究所)// 电子器件. ―2008,31(6). ―1933~1935.
提出了一种基于有限域内移位三项式基及其弱共轭基的比特并行乘法器的新结构。在由三项式生成的域内,该种结构的比特并行乘法器易于设计者使用硬件描述语言实现。采用Encounter软件对该结构进行布局布线后,发现其面积与关键路径时延都达到了设计目标的要求,在设计性能和硬件约束条件上取得了比较好的平衡。图0表1参8
TN405                                    2009030717 基于MMIC工艺的片上射频LC无源滤波器/ 武锐,廖小平,张志强(东南
大学MEMS教育部重点实验室)// 半导体学报. ―2008,29(12). ―2437~2442.
设计、制作了几种基于MMIC工艺的片上LC低通/带通滤波器并进行了测试。测试结果表明,一个3nH的MMIC电感在6.8GHz下品质因数达到13.8,自谐振频率达到15.5GHz;制作的LC低通/带通滤波器的截止频率或中心频率与设计偏差很小,分别为2%和3.3%;低通滤波器在各自通带内的插入损耗小于3dB,带通滤波器在中心频率的插入损耗为7.2dB。图10表1参12
TN405                                    2009030718 微热压印成型过程缺陷研究/ 贺永,傅建中,徐月同,陈子辰(浙江大学浙江省先进制造技术重点研究实验室)// 浙江大学学报(工学版). ―2009,43(1). ―83~86,142.
为了获得更好的压印质量,对聚合物微热压印成品缺陷产生的原因进行了研究。采用有限元法(FEM)模拟冷却阶段和脱模阶段产生的缺陷,分析结果显示,由于聚合物和模具间不同的热膨胀系数,在冷却阶段极易产生很大的热应力集中,而一直保持压印力将会加剧应力集中效应,导致微结构在底部出现断裂。在脱模阶段由于模具和聚合物表面黏附作用的影响,高深宽比结构脱模时容易产生断裂、颈缩现象。手动脱模时容易造成微结构产生倾斜,而常规的脱模装置会造成微结构产生翘曲变形,影响成品质量。根据分析结果设计了气动自动脱模装置,并对压印工艺进行了优化,在随后的实验中成功制得了高质量的高深宽比微结构。图10表0参9
TN405                                    2009030719 Ag80(WC70TiC30)17C3 银基电接触材料化学镀的反应动力学/ 张晓燕,任海峰,高利聪,曹泽淳(上海大学材料科学与工程学院)// 上海大学学报(自然科学版). ―2008,14(5). ―521~524.
探讨银基电接触材料化学镀银反应过程中的动力学,利用酸度计测量反应过程中的pH值,从而直观地表示了化学镀银反应的速率。通过研究pH值随时间的变化规律,推导出了化学镀银反应动力学方程c=c0e-kt及反应的活化能E a。图3表1参7
TN405,TP183                              2009030720 基于BP神经网络的引线键合模型/ 罗智芸,高健,陈新(广东工业大学机电工程学院)// 半导体技术. ―2008,33(11). ―956~960.
提出了一种基于BP神经网络的新建模方法。利用MATLAB神经网络函数建立网络,通过组合不同参数,将50组训练样本输入网络多次训练,比较结果误差及训练步数、时间,确定了最佳网络结构及参数设置值,建立了引线键合模型。采用训练样本外的10组实验数据对模型进行验证,分析多种误差。验证结果表明,该方法对建立引线键合模型是有效的、可行的,有着较高的精确度。图2表5参4
TN405.97                                  2009030721 集成电路Cu互连线的XRD研究/ 徐赛生,曾磊,张立锋,顾晓清,张卫,汪礼康(复旦大学微电子学系复旦-诺发互连研究中心)// 半导体技术. ―2008,33(11). ―985~987.
对硫酸盐体系中电镀得到的Cu镀层,使用XRD研究不同电沉积条件、不同衬底和不同厚度镀层的织构情况和择优取向。对比了直流电镀和脉冲电镀在有添加剂和无添加剂条件下的织构情况。实验结果表明,对于在各种条件下获得的1μm Cu镀层,均呈现(111)晶面择优,这样的镀层在集成电路Cu互连线中有较好的抗电迁移性能。图4表0参5
TN405.97                                  2009030722 直流和脉冲电镀Cu互连线的性能比较/ 徐赛生,曾磊,张立锋,张卫,汪礼康(复旦大学微电子学系复旦-诺发互连研究中心)// 半导体技术. ―2008,33(12). ―1070~1073.
针对先进纳米Cu互连技术的要求,比较了直流和脉冲两种电镀条件下Cu互连线的性能以及电阻率、织
构系数、晶粒大小和表面粗糙度的变化。实验结果表明,在相同电流密度条件下,脉冲电镀所得Cu镀层电阻率较低,表面粗糙度较小,表面晶粒尺寸和晶粒密度较大,而直流电镀所得镀层(111)晶面的择优程度优于脉冲。在超大规模集成电路Cu互连技术中,脉冲电镀将有良好的研究应用前景。图4表0参11
TN405.97                                  2009030723 PBGA组件振动疲劳寿命的实验研究/ 陈子夏,杨平,谭广斌(江苏大学微纳米科学技术研究中心)// 半导体技术. ―2008,33(11). ―1028~1031. 为了得到在基频激励下PBGA封装的可靠度特性,设计制作了一块包含不同结构和材料参数的PBGA组件样品,利用可靠性实验的方法测试了PBGA组件在正弦单频激励条件下的疲劳特性,同时运用Manson-Coffin 方程经验公式及雨流计数法得到了相对应的焊点疲劳寿命。最后利用疲劳统计中威布尔分布得到了当PBGA芯片位于PCB不同位置、不同激励时可靠度-循环失效圈数曲线(R-N曲线)。结果表明,大直径焊点芯片、分布在约束较多PCB上的芯片、无铅焊点芯片的焊点可靠度较高。图4表2参9
TN406                                    2009030724 硅基双极低噪声放大器的能量注入损伤与机理/ 柴常春,杨银堂,张冰,冷鹏,杨杨,饶伟(西安电子科技大学微电子学院教育部宽禁带半导体材料与器件重点实验室)// 半导体学报. ―2008,29(12). ―2403~2407. 针对Si基双极型低噪声放大器(LNA),用脉冲调制150MHz射频信号在其输入端进行了能量注入实验,研究结果表明Si基LNA的噪声系数和增益特性都是注入能量的敏感参数。样品解剖和电路仿真显示能量作用使LNA内部晶体管出现基极/发射极金属化损伤,基极金-半接触电阻增大导致了LNA噪声系数增大,而Si基双极器件h FE随时间正向漂移损伤模式
使LNA增益随注入能量的增加而增大。研究表明,由于能量作用下损伤效应的复杂性,以往可靠性研究中单纯采用增益的变化来衡量器件与电路的损伤效应的方法是不全面的。图6表0参12
TN406                                    2009030725 混合集成电路金铝键合退化与控制研究动态/ 苏杜煌,何小琦(广东工业大学材料与能源学院)// 电子元件与材料. ―2008,27(12). ―5~7.
混合集成电路的两种金铝键合系统,有着不完全相同的两种退化模式。综述了相关的退化机理和控制方法的研究状况。金丝与芯片铝膜的Au/Al 键合系统,是键合IMC、Kirkendall空洞导致其界面开裂失效;铝丝与厚膜金导体的Al/Au键合系统,除了界面开裂外,还存在键合根部因铝原子向IMC过度迁移而形成铝丝内部空洞导致铝丝断裂。采用铜丝代替金丝,可有效控制Au/Al键合系统的退化;采用过渡垫片或在金浆料中加入少量Pd,同时减少金导体膜厚度,可有效控制铝丝Al/Au键合系统的退化。图4表0参10
TN407                                    2009030726 视频芯片中的OSD核的设计及FPGA的实现/ 罗韬,姚素英,史再峰,陆尧(天津大学电子信息工程学院ASIC设计中心)// 吉林大学学报(工学版). ―2008,38(6). ―1452~1457.
设计了一种数字在屏幕显示(On Screen Display,OSD)控制核。该设计基于图像分层技术,采用多混合结构实现OSD图像的分层混合显示,增强了人机对话功能。存储器资源的组织方式采用改进型的二步索引算法,该算法通过对行字符组和字符的两次索引获得OSD菜单显示所需的字符点阵信息,使得菜
单编号存储器中存储的数据得到了精简,在实现相同功能的前提下对片内存储器资源的需求降低大约38%。利用现场可编程门阵列(FPGA)进行验证和性能测试,满足设计要求。图11表1参6
TN407                                    2009030727 数字IC边界扫描互连故障诊断新方法/ 周晓霞,倪军,成立,植万江,王振宇(江苏大学电气信息工程学院)// 半导体技术. ―2008,33(12). ―1100~1104.
介绍了支持JTAG标准的数字集成电路(IC)芯片结构、故障测试模式和运用边界扫描故障测试的原理。实验中分析了数字IC互连故障类型、一般故障诊断流程和互连故障的测试方法,提出了采用无误判抗混淆算法的IC边界扫描互连故障诊断法。通过两块Xilinx 9572 pc84芯片互连电路板进行了实验验证,结果表明,该方法对板级互连故障测试具有定位准确、检测效率高、可靠性高及易于实现的技术优势。图4表1参10
TN407                                    2009030728 八通道多协议串行通信控制器的功能验证/ 周喆,何书专,李伟,娄孝祥,张仲金,李丽(南京大学物理系微电子设计研究所)// 半导体技术. ―2008,33(11). ―1036~1040.
超大规模集成电路芯片的验证是一项复杂的任务,占据了整个芯片设计工作量的70%。实现了一款八通道多协议串行通信控制器芯片的功能验证,介绍了基于总线功能模型验证平台的建立方法,并根据该芯片的设计特点,研究了该芯片的验证策略,设计了验证平台,同时完成了芯片的后仿真和样片测试。实
践证明,该验证策略具有较高的功能覆盖率,验证平台具有较好的复用性,对同类具有复杂通信协议电路的功能验证有一定的参考价值。图8表1参5
TN407,TN41                              2009030729 双面贴装电路板上BGA焊点的潜在失效机理/ 陆裕东,何小琦,恩云飞,王歆,庄志强(信息产业部电子第五研究所电子元器件可靠性物理及其应用技术国家级重点实验室)// 半导体技术. ―2008,33(11). ―952~955. 采用双面贴装回流焊工艺在FR4基板表面贴装Sn3.0Ag0.5Cu(SnAgCu)无铅焊点BGA器件,通过对热应力加速实验中失效的SnAgCu无铅BGA 焊点的显微结构分析和力学性能检测,研究双面贴装BGA器件的电路板出现互连焊点单面失效问题的原因,单面互连焊点失效主要是由于回流焊热处理工艺引起的。多次热处理过程中,NiSnP层中形成的大量空洞是导致焊点沿(Cu,Ni)6Sn5金属间化合物层和Ni(P)镀层产生断裂失效的主要因素。改变回流焊工艺是抑制双面贴装BGA器件的印制电路板出现互连焊点单面失效问题的关键。图5表2参12
TN43                                    2009030730 高精度低功耗快速启动带隙基准电路设计/ 雷铭,张涛,李思臻,邹雪城(华中科技大学电子科学与技术系)// 华中科技大学学报(自然科学版). ―2008,36(11). ―36~39.
提出一种能够明显减小电流失配误差的新颖带隙基准核心结构,结合低功耗设计方法和一种全新的启动方式,实现了整个带隙基准电路超低功耗和快速启动的功能。基于JAZZ BCD 0.5μm工艺库模型,采用
Spectre 仿真器进行了仿真验证,结果显示,在电源电压V CC工作范围2.5~6.0V 内,带隙电压的变化为0.06mV;在V CC=3.6V,温度范围为-25~100℃时,带隙电压精度为17.5μV/℃;典型工作状态下(V CC=3.6V,t=25℃),整个电路静态电流仅为3.71μA,启动时间为23μs。图4表1参10
TN43                                    2009030731 一种新型级联∑△调制器系统结构/ 杨骁,陈贵灿,程军,徐晓云(西安交通大学电子与信息工程学院)// 西安交通大学学报. ―2008,42(12). ―1541~1545.
针对传统高阶级联∑△调制器结构电路复杂和对运算放大器的增益和线性度要求较高的缺点,提出了一种新型的2-3两级5阶多位量化器级联∑△调制器系统结构。该结构的第1级采用2阶多位量化器的低失真∑△调制器结构,减小了运算放大器的非线性有限增益对调制器性能的影响。第2级采用信号传递函数等于单位增益的单环3阶∑△调制器,而不是传统级联结构中1阶或2阶∑△调制器,降低了电路的复杂程度。系统仿真结果表明:在最大增益为70dB的非线性运算放大器增益、±0.2%的随机数模转换误差的非理想条件下,该调制器的最大信号噪声失真比能够达到95dB。图7表0参11
TN43,TN713                              2009030732 ∑-Δ ADC的降采样滤波器的设计与实现/ 叶振伟,蔡敏(华南理工大学电子与信息学院)// 半导体技术. ―2008,33(12). ―1133~1137.
介绍了一种带宽150kHz、16bit的∑-Δ模数转换器中的降采样低通滤波器的设计和实现。系统采用Sharpened CIC(cascaded integrator-comb)和ISOP(interpolated second-order polynomials)频率
补偿技术对通带的下降进行补偿,最后级联三个半带滤波器输出。芯片采用SMIC 0.18μm CMOS工艺实现,系统仿真和芯片测试结果表明,性能满足设计指标要求。与传统音频领域的∑-ΔADC应用相比,该设计在很大程度上拓展了处理带宽,提高了处理精度,并且便于集成在SOC芯片中,主要应用于医疗仪器、移动通信、过程控制和PDA(personal digital assistants)等领域。图6表7参10
TN431                                    2009030733 新型高速低功耗动态比较器/ 林武平,郭良权,于宗光,黄召军(江南大学)// 半导体技术. ―2008,33(12). ―1119~1122,1147.
基于预放大锁存理论,提出了一种新型高速低功耗动态比较器。该比较器采用预放大级、动态锁存器及输出缓冲级构成的三级结构,与传统比较器不同,该比较器采用了一种新型动态结构作为输出缓冲级以实现高速低功耗。在CSMC 0.5μm/5V Si CMOS工艺模型下,采用Hspice对电路进行模拟。结果表明在100MHz的时钟下,精度可达0.2mV,功耗仅为1.12mW。图11表1参5
TN431.2                                  2009030734 一种高速浮点加法器的优化设计/ 冯为,王波,孙一,金西(中国科学技术大学物理系微电子学教研室)// 电子测量技术. ―2008,31(11). ―4~8. 高性能浮点加法器是现代微处理器中的重要部件,是实时图像处理和数字信号处理的核心,同时也是微处理器数据处理的关键路径,其完成一次加法操作的周期基本决定了微处理器的主频。该文介绍了一种高速浮点加法器的优化设计,它通过采用基于Two-Path算法的错位并行改进算法;在前导零预测电路设计中采用并行
预测;尾数的54位CLA加法器中采用NAND门来代替以前CLA中常用的NOT门和AND门等一系列的改进措施,从而提高了浮点加法器的速度,使得加法运算由传统的5周期变成3周期,经仿真验证后,加法器的频率能达到350MHz。经仿真验证后,采用逻辑门比传统的浮点加法算法节省了23%。图5表1参9
TN432                                    2009030735 高压、高效率白光LED驱动电路的研究与设计/ 潘文捷,葛康康,何乐年(浙江大学超大规模集成电路研究所)// 电子器件. ―2008,31(6). ―1899~1902,1906.
设计了一种高效率的高输入电压,恒定电流输出的白光LED驱动芯片。采用高压工艺,以脉宽调制(PWM)峰值电流的控制方式,实现了宽范围电压输入、恒定电流输出的LED驱动芯片的设计。内部集成了带隙电压基准源,产生0.25V的参考电压。芯片设计采用了高压横向扩散金属氧化物半导体场效应管(LDDMOS),设计了电压预调整电路,实现了输入电压范围在85V~400V间变化,输出电流在1毫安到1安培间设定。芯片仿真结果显示电能转换效率最高可达90%以上。图9表0参8
TN432                                    2009030736 256×256焦平面阵列读出电路数字控制研究/ 王志刚,赵建忠(华北光电技术研究所)// 激光与红外. ―2008,38(11). ―1133~1135.
随着探测器阵列规模的快速发展,探测器阵列信号的整帧读出时间加长,在实时成像和光谱测试等方面遇到一些问题。文章主要针对256×256红外焦平面阵列进行数字控制研究,并着重对读出电路多通道输出控制方式进行讨论。该控制模式成功应用在256×256焦平面阵列读出电路中,在同样的主钟控制下,
使帧读出时间缩短为原来的2/3(双通道输出)和2/5(四通道输出),在实际测试和检验中得到很好的效果。图8表0参3
TN432                                    2009030737 一款用于扩频模式的时钟振荡器/ 王松林,巫炜,来新泉(西安电子科技大学电路CAD研究所)// 固体电子学研究与进展. ―2008,28(4). ―586~590.
针对扩频模式下开关频率在中心频率附近随机变化的要求,设计了一款时钟振荡器,可以通过模式选择信号选择工作在固定频率模式(FFM)或扩频模式(SSM)。基于0.6μm BCD工艺,Spectre仿真结果显示,选择固定频率模式时,产生频率为680kHz的方波;选择扩频模式时,通过对电路偏置信号的控制,使产生的方波频率在中心频率(680kHz)附近随机变化±5%。图8表0参8
TN432                                    2009030738 用于晶元及封装测试的DC-DC内建可测性设计/ 袁冰,来新泉,李演明,叶强,王红义(西安电子科技大学微电子学院)// 固体电子学研究与进展. ―2008,28(4). ―581~585,606.
针对单片DC-DC变换器进行了内建可测性设计。通过控制外围引脚使芯片进入一种特殊的测试状态,利用引脚复用技术,实现对基准电压、振荡频率、导通电阻等多种特性指标的测量。该方法无须外围专用控制结构配合,对于晶元以及封装后的芯片测试全部适用,降低了编程的复杂程度,提高了测试效率。应用于一款TSOT封装的高效电流模同步整流型降压DC-DC变换器中。测试结果表明,内建可测性设计
对芯片的正常工作没有任何影响,测试精度满足DC-DC设计要求。图9表2参10
TN432                                    2009030739 源漏硅化物扩散层分离技术对SOINMOS抗ESD的影响/ 宋文斌,许高博,曾传滨,韩郑生(中国科学院微电子研究所)// 功能材料与器件学报. ―2008,14(6). ―1007~1012.
采用金属硅化物扩散层分隔技术制备了源漏区具有不同硅化物挡板尺寸的环型栅PD SOI MOSFETs,通过CLP实验数据分析器件的硅化物隔离档板的尺寸对SOI NMOSTET抗ESD能力以及对多指栅ggnMOS管子导通均匀性的影响。结果显示,采用了硅化物隔离挡板的管子二次击穿电压明显提高;随着挡板尺寸增加,多指栅的导通均匀性得到明显改善。图7表1参9
TN432                                    2009030740 多应力结构CMOS器件的模拟研究/ 施昊,周东,张庆东,顾晓峰(江南大学信息工程学院)// 半导体技术. ―2008,33(12). ―1054~1056,1083. 使用TCAD仿真工具Sentaurus在45nm节点工艺下模拟研究了包含多应力结构的应变Si CMOS器件。模拟所得的开关电流比与相同节点工艺下
报道的实验结果能很好吻合,验证了所用模型及方法的正确性。用Sentaurus工艺模拟工具得到了器件内部的应力和掺杂分布,并用Sentaurus器件模拟工具分析了各种应力结构对电学特性的影响。结果表明:在nMOS中,SMT和DSL能有效提高器件性能,而STI却会降低器件性能;在pMOS中,SiGe S/D和
DSL的存在是性能改善的主要原因,而STI对性能改善的帮助较小。图4表3参9
TN432                                    2009030741 Halo LDD结构多晶硅薄膜晶体管的模拟研究/ 刘小红,顾晓峰,于宗光(江南大学信息工程学院)// 电子器件. ―2008,31(6). ―1783~1785,1789. 提出了多晶硅薄膜晶体管的一种Halo LDD新结构,这种结构是在基于LDD结构的基础上,在沟道靠近源、漏端引入高掺杂的Halo区。并利用工艺和器件模拟软件对该Halo LDD P-Si TFT的电学特性进行了分析,并将其与常规结构、LDD结构和Halo结构进行了比较。发现Halo LDD结构的P-Si TFT能有效地降低泄漏电流、抑制阈值电压漂移和Kink效应;减少因尺寸减小后所带来的一系列问题。图5表1参8
TN432                                    2009030742 8bit 800Msps高速采样保持电路的设计/ 潘星,王永禄,张正平(重庆邮电大学)// 半导体技术. ―2008,33(11). ―1044~1047.
为适应目前无线通信领域对高速A/D转换器的要求,采用在Cadence Spectre环境下进行仿真验证的方法,对高速A/D前端采样保持电路进行了研究。提出的高速采样保持电路(SH)采用SiGe BiCMOS工艺设计,该工艺提供了0.35μm的CMOS和46GHz f T的SiGe HBT。基于BiCMOS 开关射极跟随器(SEF)的SH,旨在比二极管桥SH消耗更少的电流和面积。在SH核心,电源电压3.3V,功耗44mW。在相干采样模式下,时钟频率为800MHz时,其无杂波动态范围(SFDR)为-52.8dB,总谐波失真(THD)为-50.4dB,满足8bit精度要求。结果显示设计的电路可以用于中精度、高速A/D转换器。图6表0参7
TN432                                    2009030743 一个通用LCD驱动电路设计/ 汪艳彬,冯炳军,袁国顺(中国科学院微电子研究所)// 电子器件. ―2008,31(6). ―1730~1734.
实现了一款适合单小屏幕应用的通用LCD显示驱动芯片的设计。该芯片采用0.5μm高压CMOS工艺。采用双电源供电,数字逻辑电路电压为5V,LCD驱动电压典型为8V,最高12V。采用RC振荡电路,内置显示对比度调整电路。多种驱动方案可选,最多可以支持730段码。4个通用输出端,可用于背光控制等电路。通过串行总线控制,提供外部管脚INH,可异步关断所有输出。可控制其工作在省电模式。无需外接译码电路,通用性强。图11表6参8
TN432                                    2009030744 专用高速高压驱动器设计/ 刘智,袁雅玲,刘存生,宁红英(西安微电子技术研究所)// 电力电子技术. ―2008,42(12). ―14~15,35.
以标准3-μm双阱CMOS工艺为基础,开发了高低压体硅CMOS工艺,解决了低压CMOS和高压LDMOS工艺兼容性问题。基于RESURF原理,设计了八边形结构的高压LDMOS;完成了低压控制电路与高压LDMOS 集成专用高速高压驱动器的设计。测试结果表明,高压LDMOS的开通电阻约为22Ω,击穿电压不高于130V;专用高速高压驱动器功能指标达到设计要求。图5表0参4
TN432                                    2009030745 一种消除失调的开关电容带隙基准电路/ 陈富吉,来新泉,李玉山,李先锐,李演明(西安电子科技大学电路CAD研究所)// 电子学报. ―2008,36(11). ―2251~22
55.
该文介绍了一种基于开关电容的带隙基准芯片电路。该文巧妙地利用电容和开关的模拟电阻,实现了静态电流小,温度系数好的开关型基准电压。同时运用自动调零技术,克服了线性基准的失调缺陷,消除了运放的失调电压,提高了输出电压的失调精度。电路在0.5μm VIS CMOS工艺下实现,温度系数29×10-6V/℃,20mV输入失调电压下的电压漂移仅为0.4mV。图7表2参12
TN432                                    2009030746 用于高速ADC的低抖动时钟稳定电路/ 张红,周述涛,张奉江,张正璠(常州信息职业技术学院)// 半导体技术. ―2008,33(12). ―1143~1147. 介绍了一种用于高速ADC的低抖动时钟稳定电路。这个电路由延迟锁相环(DLL)来实现。这个DLL有两个功能:一是通过把一个时钟沿固定精确延迟半个周期,再与另一个沿组成一个新的时钟来调节时钟占空比到50%左右;二是调节时钟抖动。该电路采用0.35μm CMOS工艺,在Cadence Spectre环境下进行仿真验证,对一个8bit、250Msps采样率的ADC,常温下得到的时钟抖动小于0.25ps rms(典型的均方根)。图8表1参6
TN432                                    2009030747 用于电压模式的DC-DC转换器的前馈电路/ 丁万新,陈东坡,何乐年(浙江大学超大规模集成电路设计研究所)// 电子器件. ―2008,31(6). ―1832~1835.
提出了一种应用于电压模式的DC-DC降压转换器的前馈电路。传统DC-DC中,工作状态的变化,通过输
出端反馈后才能对占空比做出调整;输入电压跳变时,调整时间就比较长。通过引入输入电压前馈通路,克服了传统结构调整时间过长的缺点。该电路应用在一种高效率、低功耗双模式DC-DC降压转换器芯片中,芯片采用CSMC公司的0.5μm CMOS 混合信号工艺设计和流片。实际测试结果表明在反馈环路中引入前馈支路后,没有影响系统环路的稳定性,而使线性调节性能(Line Regulation)得到显著的改善。图10表0参8
TN432                                    2009030748 采用改进型1.5位/级结构的10位100MHz流水线模数转换器/ 叶凡,施宇峰,过瑶,罗磊,许俊,任俊彦(复旦大学专用集成电路与系统国家重点实验室)// 半导体学报. ―2008,29(12). ―2359~2363.
介绍了一个采用改进型1.5位/级结构的10位100MHz流水线结构模数转换器。测试结果表明,模数转换器的信噪失真比最高可以达到57dB,在100MHz输入时钟下,输入信号为57MHz的奈奎斯特频率时,信噪失真比仍然可以达到51dB。模数转换器的差分非线性和积分非线性分别为0.3LSB和1.0LSB。电路采用0.18μm混合信号CMOS工艺实现,芯片面积为0.76mm2。图8表1参5
TN433                                    2009030749 用于锁相环的低失配CMOS电荷泵设计/ 黄磊,余俊,吴建辉,张萌,李红(东南大学国家专用集成电路系统工程技术研究中心)// 固体电子学研究与进展. ―2008,28(4). ―616~620.
设计了一种用于锁相环的低失配CMOS电荷泵电路,采用互补差分输入。互补差分管的使用有效地解决了电荷泵的时钟馈通和电荷注入等非理想现象。同时,利用自举的方法消除了电荷共享现象。在电路和版图的设计中,充分考虑了对称性对电流失配的影响。该电荷泵电路基于新加坡Chartered 0.25μm N阱CMOS工艺实现,采用Candence中的Spectre仿真工具进行仿真,电源电压为3.3V。测试结果表明,在该芯片需要的各种电荷泵电流下其失配都低于0.65%。该电荷泵电路已应用于射频调谐器当中。图9表1参10
TN433                                    2009030750 一种适用于准谐振AC/DC控制芯片的波谷检测电路/ 张伟东,何杞鑫(浙江大学宁波理工学院)// 电子器件. ―2008,31(6). ―1786~1789.
设计了一种适用于准谐振反激式AC/DC(交流/直流)控制芯片的波谷电压检测电路。根据反激式变换器辅助绕组电压的特点,在一个开关周期内对辅助绕组电压采用三种不同的处理方式。电路实现了以下功能:检测变压器消磁完成的时间点,然后控制检测电路进行波谷检测;在功率开关管漏极电压斜率±0.09的范围内,检测到电压波谷;对母线输入电压和输出电压进行过压检测。Cadence specter S仿真结果显示,实现了以上功能。将检测电路用于准谐振AC/DC控制芯片,功能实现良好。图8表0参5
TN433                                    2009030751 一种新型滞环电流控制电路的设计/ 谢治中,何杞鑫(浙江大学宁波理工学院)// 电子器件. ―2008,31(6). ―1895~1898.
传统的滞环电流控制电路通过共基极差分放大器采样电流信号,放大器的偏置电流会对检测电流有较大影响。提出了一种新型滞环电流控制电路,其采用宽共模输入电压范围的比较器结构,电流检测信号从双极型晶体管基极输入,能有效减小对检测电流的影响。该电路在25V 1.5μm BCD工艺下设计实现,运用在白光LED恒流驱动芯片之中。仿真结果表明该电路的共模输入电压范围为5~25V,从检测电流吸收的偏置电流不超过308nA,能较好地完成恒流控制的功能。图10表0参6
TN433                                    2009030752 一种带锁相环的多模式时钟发生电路设计/ 宋爽,赵梦恋,陈海,吴晓波,严晓浪(浙江大学超大规模集成电路设计研究所)// 固体电子学研究与进展. ―2008,28(4). ―569~574.
为提高开关电源控制芯片使用灵活性,优化对开关电源效率、纹波等性

本文发布于:2024-09-23 17:11:24,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/1/456132.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   电路   采用   电压   芯片   进行
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议