基于VHDL的万年历设计

usb暖手鼠标垫

摘 要
    随着EDA(电子设计自动化)技术的发展和应用领域的扩大,EDA技术在电子信息、通信、自动化控制及计算机应用领域的重要性日益突出。EDA技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description language)为系统逻辑描述手段完成的设计文件,自动完成逻辑化简、逻辑分割、逻辑综合(布局布线)、逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。EDA的关键技术之一是用形式化方法来描述数字系统的硬件电路、即用所谓的硬件描述语言来描述硬件电路。
    本设计是基于VHDL语言的万年历。在设计中,首先介绍了万年历的设计思路,且在Quartus II开发环境中编译和仿真所设计各个模块的程序,并逐一调试程序使各模块达到设计目的。其次,利用各元器件生成顶层文件,进行系统仿真。最后,对顶层原理图进行引脚设定,并下载到试验箱验证,证明系统的可行性.
关键字:VHDL  万年历  Quartus II
Abstract
音箱制作    硅酸盐水泥熟料With the development of EDA (electronic design automation) technology  and expansion of application fields ,the importance of EDA technology in electronic information, communication, auto control, and computer applications is becoming increasingly prominent. EDA technology is the core of the modern electronic design techniques, which rely on powerful computers 。 In EDA tools software platform,  computer automatically completes logic simplification,logical partitions, logic synthesis , logic optimization ,logical simulation and other functions until the electronic circuit system achieves the stated performance. However, the realization of these function bases on the description of the system using the hardware description language HDL (Hardware Description language) 。 One of the key technologies of the EDA is to use formal methods to describe digital systems hardware circuit, which uses the so-called hardware description language to describe the hardware circuit。
The design is the calendar based on VHDL language。 Firstly, in which ,I introduce ide
as about designing the calendar。 In addition, I compile and simulate the program of different modules in the Quartus II development environment and debug one by one to make different modules meet objectives of the design。 Secondly, I take advantage of all components,which is created according to program to generate top-level file . Finally, I make pin settings and download to the test chamber to prove the feasibility of the system.
交通事故现场图绘制    电厂脱硫滤布Key words: VHDL  calendar  Quartus II
古马隆树脂绪论
    EDA技术以计算机为工具,而设计者只需在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动完成逻辑编译、化简、分割、综合、布局、布线和仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作.其中,EDA的关键技术之一是用形式化方法来描述数字系统的硬件电路,即用硬件描述语言来描述硬件电路。
    本设计是基于VHDL语言的万年历,故名思议,需要用VHDL语言描述万年历的硬件电路。就万年历的功能而言,首先,其不仅要计算时间,且需要计算日期,尤其需要正确的判
断每月的天数.在其中,比较困难的是如何正确的计算2月份的天数,因为闰年的2月份有29天,但平年的2月份只有28天。由此,引出一个新的问题:在系统中除计算年份外,还需判断其是否为闰年.其次,系统还要将时间显示,显示方式分为时分秒、年月日这两种显示方式。最后,为增加系统的实用性,本设计还增加校时功能,主要实现当计时出现误差时进行校正的功能。在本设计中,通过程序使系统有7种不同的工作状态,分别为正常计时、校分、校时、校日、校月、校年低位、校年高位。除此,为了显示目前所处的工作状态,用6个指示灯的亮灭来表示,6个灯都不亮时表示正常计时,其余每个灯的亮灭依次表示6种不同的工作状态。在系统设计中,先用VHDL语言实现各个模块的功能,然后用原理图方式生成顶层文件,使设计思路清晰。最后,对顶层原理图进行引脚设定,并进行下载验证,证明系统的可行性.

本文发布于:2024-09-21 17:26:56,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/1/165655.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:系统   逻辑   设计   技术   硬件
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议