三分频器的计算与制作

带通滤波器设计
分频器的计算与制作
时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。
图1:图形设计
VHDL程序:
library ieee;
use ieee.std_ logic_ .1 164. all;土工格室护坡
use ieee. std_ logic_ _unsigned.all; .
use ieee.std_ _logic_ arith. all;
entity fen3 is
port
(clkin : in
std_ logic; --时钟输入
qout1 : buffer std_ logic;
qout2 : buffer std_ logic;
二氧化硅抛光液qout3 : buffer std_ logic;
clkout : out std_ logic -- 占空比为1/2的三分频输出
);
end fen3;
architecture behave of fen3 is
begin
qout3<= qout1 nor qout2;
process( clkin)
begin
if clkin'event and clkin='1' then --在 上升沿触发
qout1<= qout3;
自制纳米胶带教程end if;
end process;
process( clkin)盲区监测
begin
if clkin'event and clkin= '0' then --在 下降沿触发
qout2< = qout3;
end if;
end process;
process(qout3)
variable tem:std_ logic;
begin !
if qout3'event and qout3='1' then -.二分频
tem:= not tem;
end it;
clkout< = tem;
end process;
end behave;
仿洞石涂料
图3:仿真结果

本文发布于:2024-09-23 00:30:02,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/1/152828.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:输入   分频器   设计   触发   或非门   输出   接到
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议