EDA实验指导书

物理与电子工程学院电工电子实验
吴学军2011.9
目录
实验一熟悉QuartusⅡ的设计过程 (1)ctp版材
实验二 4位二进制加法器的设计 (3)
实验三 2位十进制数字位移测量仪设计 (5)
实验四基于LPM_ROM的九九乘法器的设计 (8)
实验五 4位二进制加法器的VHDL设计 (9)
实验六有限状态机实现序列检测器的设计 (11)
实验七数字钟的设计 (13)
实验一熟悉QuartusⅡ的设计过程
一、实验目的:
1、掌握QuartusⅡ安装过程;
2、熟悉QuartusⅡ设计环境;
3、掌握Quar tusⅡ的设计过程。
二、实验内容及步骤
(一)、安装QUARTUS II。
注:第一次安装QUARTUS要安装license。
新型秸秆气化炉(二)、QUARTUSⅡ设计开发步骤
飞行棋棋盘1、在windows中建立一个文件夹,用于保存设计工程项目的有关文件。注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下;文件夹不能用中文,不可带空格,最好也不要用数字。例如建立的文件夹:E:\mux.
2、点击QUARTUSⅡ7.2打开QUARTUSⅡ7.2设计窗口。
3、建立工程及相关设计文件,对工程文件进行逻辑综合。(查看设计实体的RTL图)
4、对设计做功能仿真,验证设计实体逻辑功能。
5、管脚锁定及结构综合(适配)。
6、进行时序仿真,验证设计的时序是否满足设计要求。
7、执行文件汇编,生成下载所需的文件。
8、编程下载。
注:详细的使用说明见教材相关章节。
(三)例:用VHDL实现8位加法器设计(参照教材p131-135)
隧道式搪瓷烧结炉VHDL程序如下:
-- Quartus II VHDL Template
-- Unsigned Adder
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity unsigned_adder is
generic
(
DATA_WIDTH : natural := 8
);
port
(
a : in unsigned ((DA TA_WIDTH-1) downto 0);
b : in unsigned ((DA TA_WIDTH-1) downto 0);
result : out unsigned ((DA TA_WIDTH-1) downto 0)
);
end entity;
architecture rtl of unsigned_adder is
begin
result <= a + b;
end rtl;
三、实验报告
根据以上的实验内容写出实验报告,包括实验目的、内容、步骤、程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。
实验二 4位二进制加法器的设计
一、实验目的
1、巩固QUARTUS Ⅱ设计过程和设计环境。
2、掌握图形输入方式进行简单逻辑设计的过程。
3、了解自上而下的设计方法。
二、实验内容及步骤
图2-1 4位加法器的设计
图2-2 4位加法器的顶层结构
制作智能卡
图2-3 一位全加器的逻辑结构
图2-4 一位半加器的逻辑结构
制造业采购自上而下的设计思想是先进行顶层电路结构的划分,在完成底层电路功能的设计。
4位全加器的的设计首先确定顶层结构的划分,由一个一位半加器和3个一位的全加器组成,而一位的全加器由两个一位的半加器和一个或门构成。具体的设计过程可以参照教材p45-75
三、实验报告
根据以上的实验内容写出实验报告,包括实验目的、内容、步骤、程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

本文发布于:2024-09-21 22:52:02,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/1/102099.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:设计   实验   文件   过程   结构   进行   内容   分析
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议