8421BCD码加法器报告1

8421BCD加法器的报告
实验目的
1、分析三自由度8421BCD加法器的基本电路结构
2、检测8421BCD加法器的逻辑功能。
设计任务及要求
1、利用两片4位二进制全加器4008和必要的门电路设计一个8421BCD码加法器;
2、画出电路原理图(或仿真电路图);
3、元器件及参数选择;
4、电路仿真与调试;
5、光电眼制作要求 自行装配和调试,并能发现问题和解决问题.
6、编写设计报告 写出设计与制作的全过程
实验原理及原理图
实验原理屋面天窗
8421  BCD码中,1位十进制数0—9可用四位二进制数0000—1001表示,而1010—11116个数为无效数。多位数加法器可用来进行两个多位二进制数的相加。当两个二进制数相加时,如果和数大于1001,就必须进行调整。调整的基本方法是将和数加0110并产生一个进位数,这样便可跳过1010—11116个无效数。如果和数不大于1001,则将和数加0000,这就不会产生进位数,等于不调整。
加法器由半加器和全加器组成,它们是算术运算电路中的基本单元 ,它们还是完成1位二进制数相加的一种组合逻辑电路。这种8421BCD码加法器就是应用全加器及一些门电路组成的。
原理图
实验内容
1、  在Multisim平台上建立8421BCD加法器电路,单击仿真开关运行动态分析。
2、  用逻辑开关在BCD加法器的输入端A3—A0B3—B0输入8421 BCD二进制数01110010,观察逻辑探头的明暗变化,确定加法器的输出结果,并与手工计算情况比较,包括二进制数和十进制数。
齿轮修复
3、  用逻辑开关给加法器输入10010110,观察逻辑探头的明暗变化,比较“机算”和“手算”的结果,包括二进制数和十进制数。
4、  用逻辑开关给加法器输入10011000,观察逻辑探头的明暗变化,比较“机算”和“手算”的结果,包括二进制数和十进制数。
5、用逻辑开关给加法器输入0111和0101,观察逻辑探头的明暗变化,比较“机算”和“手算”的结果,包括二进制数和十进制数。
6  将十进制数75转换为8421 BCD码,比较“机算”和“手算”的结果,包括二进制数和十进制数。s8003
实验仪器
  5V直流电源开关1个                         
脉冲1个  数码管4个脉动时空    4位二进制全加器4008  2片 门电路4个
结论(心得)
经过这次的设计,让我对数字电路的电路设计又有了心的体会,在连接电路图时整体的设计要和已有的芯片联系起来。并要认真检查电路连接。
在实际的操作过程中,能把理论中所学的知识灵活地运用起来,经过这一锻炼,让我接触到了更多的电路实现方法,开拓了思维和眼界,我觉得这一部分使我受益匪浅。

本文发布于:2024-09-21 15:24:27,感谢您对本站的认可!

本文链接:https://www.17tex.com/tex/1/102076.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

上一篇:半加器
标签:二进制   设计   电路
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议