艾默生DELTAV系统常见英语单词


2023年12月29日发(作者:alongside的意思)

abortacknowledgeacquireactionAdaptive TuningaddadministratorAdvanced ControlAdvanced Unit ManagementAgency Approvalsaggregateairborne contaminantsalarmAlarms And EventsAlarm BannerAlarm ListAlarm MessageAlarm SummaryAlarm SuppressAlarm ViewalgorithmaliasAlias Resolution TableAnalog Control中止确认获取动作自适应整定添加管理员先进控制高级单元管理机构认证集合空气污染物报警报警与事件报警栏报警列表报警信息报警摘要报警抑制报警视图算法别名别名解析表模拟控制Analog I/O CardAnalog VoterAnalog monitorApplication StationarbitrationarchitecturearchiveareaAsset OptimizationassignauthorizeAuto-SenseAuto-UpdateAutoswitch模拟量I/O卡件模拟表决器模拟监控应用站仲裁架构存档厂区资产优化分配授权自动感应自动更新自动切换Bback upbase stationbatchBatch ExecutiveBatch HistorianBatch History ViewBatch IDBatch Operator Interface备份批量批量执行器批量历史库批量历史视图批量 ID批量操作员界面

baud rateBi-directional Edge TriggerBias/Gain (BG)bindblockBooks OnlineBoolean Fan InputBoolean Fan OutputbrokerBroadcast ModebrowseBulk Editbulk powerbutton波特率双向边沿触发偏差/增益绑定功能块在线文档布尔扇输入布尔扇输出代理程序广播模式浏览大批量编辑大功率电源按钮cardcarrier卡件底板Cause and Effect Matrix (CEM)因果矩阵certificationCheck InCheck OutchecklistClass-based ModuleClassless ModuleClear FailuresclientCold Restartcommand drivencommentcommission认证检入检出核对清单基于类的模块非类模块清除故障客户端冷启动命令驱动注释投用公共资源通讯比较器组件复合模块条件条件报警组态助手确认CchannelclasscabinetcablecalibrationcascadeCampaign Managercapacitance通道类机柜电缆标定串级生产计划管理电容common resourcecommunicationcomparatorcomponentcompositecondition (CND)Conditional AlarmingConfiguration Assistantconfirm

constantcontactContext MenuContinuous HistorianControl Module ClassesControl ModulesControl NetworkControl RecipeControl StrategyControl Studiocontrollerconvergencecoordinatecountercounter-rotation topologyCurrent Limiter常数触点情境菜单连续历史库控制模块类控制模块控制网络控制配方控制策略控制工作室控制器会合点协调计数器反转拓扑电流限幅器Data historianData linksDatabasede-energizedead timedecommissiondefaultdeferdeletedivergencedeviationDevice Control (DC)Device Signal Tag(DST)Device TagDiagnosticsdimensiondiode数据历史库数据链接数据库失电滞后时间停用缺省延迟删除分支偏差设备控制设备信号标签设备位号诊断尺寸二极管离散量I/O卡件离散输入离散输出下装内供电(DryContact)图符集DDaily ExportDaisy chainData CompressionData ConversionData Entry ExpertData Source每日导出菊花链拓扑数据压缩数据转换数据输入专家数据源Discrete I/O CardDiscrete InputDiscrete Outputdownloaddry contactDynamo set

EEngineering Units (EU)Earth GroundelectromagneticElectronic SignaturesEmbedded CompositesenclosureenergizeEnterprise PI Historianenvironmental specificationsequipmentEquipment TrainsEquipment modulesEthernetEvent ChronicleEvent JournalEvents and AlarmsException ReportingExclusive ORExpertexportExpress DownloadExpression Editorextender工程单位大地电磁电子签名嵌入式复合模块外壳得电企业级PI历史库环境规范设备设备链设备模块以太网事件记录事件日志事件和报警异常报告互斥或专家导出快速下装表达式编辑器扩展器Extensible ParameterExternal PhaseExternal Reference可扩展参数外部阶段外部引用FFoundation Fieldbus(FF)faceplateFailure MonitorFault-tolerant serverFiber SwitchFiber-Optic Cablefield wiringFieldbus H1 cardFieldbus Power HubFieldbus portfilterfire and gasfirewallFlow MeterForce a TransitionForce an InputFormat Specification FilesformulaFunction Block基金会现场总线面板故障监控容错服务器光纤交换机光纤电缆现场布线现场总线H1卡件现场总线电源分配器现场总线端口筛选火气防火墙流量计强制迁移强制输入格式规格文件配方量比功能块

Fuzzy Logic Control (FLC)模糊逻辑控制importindex导入索引指示灯初始化安装实例集成积分器知识产权区间接口联锁内部引用互操作中断仪表等熵膨胀内供(Isolate)GGeographic Distributionglobalglossaryground地理分布全局术语表接地indicatorinitiationinstallinstanceintegrationintegratorHH1 cardH1 carrierHardwareHigh SidehistorianHistory DataHold LogichosthubH1卡件H1底板硬件外供电(HighSide)历史库历史数据保持逻辑主机集线器intellectual propertyinter-zoneinterfaceinterlockInternal ReferenceinteroperabilityinterruptinstrumentIsentropic Expansion (ISE)isolateIIntrinsically Safe(I.S.)I/Oiconidle本安输入/输出图标空闲JJournal日志Kkey密钥

keyword关键字Loginloop登录回路LLink Active Scheduler(LAS)LimitlinearizationLab Entry (LE)layoutLead/Lag (LL)LearningLegacy HistorianLegacy Vertical CarriersLibrarylicenseLink GroupLinked CompositeloadLocal Area NetworkLocal peer busLocalBuslockoutLog OnLoggerLogic Solver链路活动调度器门限值线性化实验室录入布局超前/滞后学习Legacy历史库原有的垂直安装底板库授权链接组链接复合模块载入局域网本地对等总线本地总线锁定信息登录日志记录逻辑解算器NMmacrocycleMaintenance StationManagement stationManual modeMarine CertifiedMaster RecipematrixMedia ConverterMid Selector (MID)Migrate DatabaseModel Predictive ControlProcess SimulatormodeModule ClassModule Detail DisplayModule FaceplateMultiple MonitorsMultiplexer (MLTX)Multiply (MLTY)宏周期维护站管理站手动模式海上认证主配方矩阵媒介转换器中值选择器迁移数据库模型预估控制过程仿真器模式模块类模块细目画面模块面板多显示器多路转换器乘法

Named SetnetworkNetwork Time Servernetwork topologyNeural Network (NN)nodeNot Exclusive OR命名集网络网络时间服务器网络拓扑神经网络节点互斥或非parsepausepalettephasepollPower upprocedureprompt语法分析暂停部件板阶段轮询上电程序提示参数/字段划分密码对等总线性能报告人身安全阶段逻辑模块阶段所有权阶段寄存器画面占位符厂区即插即用点对点弹出画面端口OOPC Data ServeroperationobjectOff Delay TimerOn Delay TimerOne-Click LockdownOnline HelpoperandOperator GraphicOperator Keyboard displayOperator StationOut of ServiceoverviewOPC数据服务器操作对象关延迟定时器开延迟定时器一键锁定在线帮助操作数操作员画面操作员键盘画面操作站离线总览Parameters/Fieldspartitionpasswordpeer busperformance reportPersonal SafetyPhase Logic Module(PLM)phase ownershipPhase Registerpictureplaceholderplant areaPlug N Playpoint-to-pointpopup picturePport

Positive Edge Trigger(PDE)power dissipationpower supplyPrimary control picturePrimary Link MasterProcedural FunctionCharts(PFC)Process CellProcess GraphicProcess History ViewProcess LearningProcess ManagementProcess ModelProfessional StationProfessionalPLUS StationProof TestpublisherPulse Input (PIN)上升沿触发器功率损耗电源主控制画面主链路调度器程序功能图过程工段流程图过程历史视图过程学习过程管理过程模型工程师站主工程师站验证试验出版商脉冲输入Recipe SimulatorRecipe StudioRecover/Purgeredundantregisterrelayrelease resourceRemote AccessRemote ClientRemote Desktop ConnectionRemote I/ORemote networkremoverenamerepeaterreserveReset/Setresistance配方仿真器配方工作室恢复/清除冗余注册继电器释放资源远程访问远程客户端远程桌面连接远程I/O远程网络移除重命名中继器保留复位/置位阻抗资源块响应恢复保持定时器撤销设备路由器Rrequestresetrampratio (RTO)recipe请求复位斜坡比率配方resource blockresponserestoreRetentive TimerRevoke Equipmentrouter

Run Logic运行逻辑shockShort Circuit Protection震动短路保护信号表征器信号发生器信号选择器签名仿真定制大小从设备滑动智能卡快照软件备用规格分程分支热备状态迁移图状态驱动步长停止子网掩码子程序SsimulateSOA Web ServicesSafety Instrumented SystemSaturated Steamsavescaler (SCLR)scheduleschematic Diagramscalescan ratesegmentSemi-Auto ModesensorSequence Of Event(SOE)sequencerSequential FunctionChart(SFC)serversessionsetup datashareshed仿真SOA网页服务安全仪表系统饱和蒸汽保存缩放器调度,计划原理图量程,刻度扫描速率网段半自动模式传感器事件序列定序器顺序控制图服务器会话配置数据共享退化Signal Characterizer (SGCR)Signal Generator (SGGN)Signal SelectorsignatureSimulatesizingslave deviceslippagesmart cardsnapshotsoftwaresparespecificationsplitter (SPLTR)spurstandbyState Transition DiagramState-Drivenstep sizestopSubnet Masksubroutine

subscribersubstitutesubtract (SUB)surgeswapswitchswitchoversynchronizesystem capacitiesSystem Preferences订阅者替代减法浪涌交换交换机/开关切换同步系统容量系统首选项timeouttoolbartoolboxtopologytorquetotalizerTouch Screentransceivertransducer blockTransfer (XFR)transition超时工具栏工具箱拓扑扭矩累加器触摸屏收发器转换块传递迁移条件趋势图故障处理干路双绞线TtunetagnametailorTask WizardtemplateTerminal BlockterminatorterminologytoolbarthermocoupleThree-State MotorTimed Pulse整定位号名调整任务向导模板接线端子终端器术语工具栏热电偶三状态电机计时脉冲trendTrouble shootingtrunktwisted pairUunitUnit ProcedureUnacknowledged PromptUninterruptible Power Supply(UPS)Unit AlarmUnit AliasUnit Allocation单元单元程序未确认的提示不间断电源单元报警单元别名单元分配

Unit ClassUnit ModuleUnit Phaseunloadupdateupgradeuploaduser account单元类单元模块单元阶段卸载更新升级上传用户帐号window paneWireless GatewayWireless I/Owiring diagramWorkgroup and DomainworksheetworkspaceworkstationWorld Wide Web page窗格无线网关无线I/O布线图工作组和域工作表工作区工作站万维网页面编写脚本VvariableverifyVersion Controlvertical carriervibrationvideo cardvoltage变量检验版本控制垂直底板振动显卡电压write scriptZzone系统区WWarm RestartwarningWater Enthalpy (WTH)Water Entropy (WTS)widescreen热重启警告水焓水熵宽屏


本文发布于:2024-09-21 03:29:31,感谢您对本站的认可!

本文链接:https://www.17tex.com/fanyi/42055.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:模块   报警   控制   设备   总线   历史
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2024 Comsenz Inc.Powered by © 易纺专利技术学习网 豫ICP备2022007602号 豫公网安备41160202000603 站长QQ:729038198 关于我们 投诉建议